Entwurf und Implementierung einer Simulationsumgebung für … Mehrdad Mirreza... · 2010-02-17 ·...

65
Prof. Dr.-Ing. Wolfgang Krautschneider Institut für Nanoelektronik Prof. Dr. Dr. h.c. mult.Glesner FB ET-IT (18) | I DT Diplomarbeit Entwurf und Implementierung einer Simulationsumgebung für rekonfigurierbare Delta-Sigma-Wandler Author: Mehrdad Mirreza Betreuer: Massoud Momeni (TU Darmstadt) Abgabedatum: 30. November 2006

Transcript of Entwurf und Implementierung einer Simulationsumgebung für … Mehrdad Mirreza... · 2010-02-17 ·...

Prof. Dr.-Ing. Wolfgang Krautschneider

Institut für

Nanoelektronik

Prof. Dr. Dr. h.c. mult. GlesnerFB ET-IT (18) | I DT

Diplomarbeit

Entwurf und Implementierung einerSimulationsumgebung für

rekonfigurierbareDelta-Sigma-Wandler

Author: Mehrdad MirrezaBetreuer: Massoud Momeni (TU Darmstadt)Abgabedatum: 30. November 2006

Diese Arbeit widme ich zwei Frauen, die jeweils eine maßgebliche Rolle dabei gespielt haben, dass ichheute meine Diplomarbeit und damit mein Studium abschließe:

meiner Mutter, Tahereh Adib-Saberi, die immer meine Neugier zu meinem Fortschritt umzuwan-deln wusste, für mich den langen Weg zum Studium ebnete, und mich während des Studiums stetsmotivierte;

und meiner geliebten Frau, Masoumeh Koochack-Zadeh, die mir besonders in den letzten sechs Mo-naten unterstützend beistand, mir das Arbeiten in Ruhe und Konzentration ermöglichte und vielGeduld mit mir aufbringen musste.

Danksagung

An dieser Stelle möchte ich mich zunächst bei Herrn Prof. Dr. Ing. Wolfgang Krautschnei-der bedanken, der die interne Betreuung dieser Arbeit an der TUHH übernommen undmich bei der externen Bearbeitung an der TU-Darmstadt sehr unterstützt hat. Anschlie-ßend gilt mein Dank an Herrn Prof. Dr. Ing. mult. Manfred Glesner, dem Leiter des Fach-gebiets Mikroelektronische System der Institut Datentechnik an der TU-Darmstadt sowieseinen Mitarbeiter für das Ermöglichen der externen Bearbeitung und ihre freundlicheUnterstützung. Insbesondere danke ich Herrn Dr. Ing. Thomas Hollstein für die Orga-nisation und die Hilfeleistung bei der Wahl des Themas und Herrn Dipl. Ing. MassoudMomeni für die intensive Betreuung der Diplomarbeit.

Mehrdad Mirreza,

30. November 2006

iii

Inhaltsverzeichnis

Danksagung iii

Zusammenfassung ix

1 Einleitung 1

2 Grundlagen 32.1 Rekonfigurierbare Schaltungen . . . . . . . . . . . . . . . . . . . . . . . . 3

2.1.1 Prinzip der Rekonfigurierbarkeit . . . . . . . . . . . . . . . . . . . 32.1.2 Rekonfigurierbare analoge Schaltungen . . . . . . . . . . . . . . . 4

2.2 Delta-Sigma-Wandler . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 92.2.1 Theorie der A/D-Wandler . . . . . . . . . . . . . . . . . . . . . . . 92.2.2 A/D-Wandlungsverfahren . . . . . . . . . . . . . . . . . . . . . . . 112.2.3 Nyquist-Rate-Wandler (NRC) . . . . . . . . . . . . . . . . . . . . . 122.2.4 Überabtastende Wandler (OSC) . . . . . . . . . . . . . . . . . . . . 132.2.5 Varianten des DSWs – Wandler-Parameter . . . . . . . . . . . . . . 162.2.6 Implementierung des DSWs . . . . . . . . . . . . . . . . . . . . . . 182.2.7 Nicht-Idealitäten des DSWs . . . . . . . . . . . . . . . . . . . . . . 202.2.8 Vergleich und Anwendungsbereiche . . . . . . . . . . . . . . . . . 22

2.3 Simulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 242.3.1 Simulationsebenen . . . . . . . . . . . . . . . . . . . . . . . . . . . 242.3.2 SIMULINK . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 262.3.3 Spice-ähnliche Simulationstools . . . . . . . . . . . . . . . . . . . . 27

3 Verfahren 283.1 Modellierung des DSWs . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28

3.1.1 Signal-Aufbereitung . . . . . . . . . . . . . . . . . . . . . . . . . . 283.1.2 Delta-Sigma-Modulator . . . . . . . . . . . . . . . . . . . . . . . . 303.1.3 Dezimierer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32

3.2 Peripherie-Blöcke . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 333.2.1 Einstellbarer Signalgenerator . . . . . . . . . . . . . . . . . . . . . 343.2.2 Signalmonitoring . . . . . . . . . . . . . . . . . . . . . . . . . . . . 343.2.3 Systemanalyse . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37

iv

Inhaltsverzeichnis

3.2.4 Bedienungsschnittstelle . . . . . . . . . . . . . . . . . . . . . . . . 413.3 Delta Sigma Toolbox . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 43

4 Ergebnisse 454.1 Vergleich mit bestehenden Tools . . . . . . . . . . . . . . . . . . . . . . . . 454.2 DeltaSigmaSimulator als Design Tool . . . . . . . . . . . . . . . . . . . . . 47

5 Ausblick 485.1 Berücksichtigung der Nicht-Idealitäten . . . . . . . . . . . . . . . . . . . . 485.2 Automatische Topologie-Generierung . . . . . . . . . . . . . . . . . . . . . 485.3 Optimierung der Dezimierung . . . . . . . . . . . . . . . . . . . . . . . . . 49

A MATLAB-Codes 50A.1 ��������� . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50A.2 ����� �� . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 51

Erklärung 55

v

Tabellenverzeichnis

2.1 Geschwindigkeit/Auflösung-Tradeoff . . . . . . . . . . . . . . . . . . . . . 23

vi

Abbildungsverzeichnis

2.1 Allgemeine Topologie einer Multimodeschaltung . . . . . . . . . . . . . . 62.2 Matrizendarstellung einer allgemeinen Topologie für einen Delta-Sigma-

Wandler . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72.3 Speziell für einen Parametersatz ermittelte Gesamttopologie . . . . . . . . 72.4 Design-Flow für die Ermittlung einer optimalen Architektur eines Multimode-

Delta-Sigma-Wandlers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 82.5 Schematische Systemdarstellung eines DSWs . . . . . . . . . . . . . . . . 142.6 Allgemeine Form eines DSWs . . . . . . . . . . . . . . . . . . . . . . . . . 152.7 DSM erster Ordnung . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 152.8 Zeitkontinuierliche Realisierung . . . . . . . . . . . . . . . . . . . . . . . . 192.9 Zeitdiskrete Realisierung . . . . . . . . . . . . . . . . . . . . . . . . . . . . 202.10 Einsatzbereich verschiedener Wandlertypen bzgl. der Auflösung und der

Frequenz des zu konvertierenden Signals . . . . . . . . . . . . . . . . . . . 23

3.1 Gesamtmodell . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 293.2 Signal-Aufbereitung . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 303.3 Delta-Sigma-Modulator . . . . . . . . . . . . . . . . . . . . . . . . . . . . 313.4 LF-Stufe . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 313.5 DSM mit fester Topologie (DSM2) . . . . . . . . . . . . . . . . . . . . . . 323.6 LF-Stufe (DSM2) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 323.7 Dreistufiger FIR-Dezimierer . . . . . . . . . . . . . . . . . . . . . . . . . . 333.8 Signalgenerator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 343.9 Darstellung des zeitlichen Signalverlaufs . . . . . . . . . . . . . . . . . . . 353.11 Verwendung von Spectrum-Scope-Blöcken . . . . . . . . . . . . . . . . . . 353.10 Zeitlicher Signalverlauf . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 363.12 Spektrum des diskreten Eingangssignals (links), des Bitstreams (mitte)

und des digitalen Signals nach der Deziemierung (rechts) . . . . . . . . . 373.13 Überwachung der Abtastrate . . . . . . . . . . . . . . . . . . . . . . . . . . 373.14 Ermittelung des Wandlerfehlers . . . . . . . . . . . . . . . . . . . . . . . . 383.15 Zeitlicher Verlauf des Wandlerfehlers . . . . . . . . . . . . . . . . . . . . . 393.16 Blöcke der Spektralanalyse . . . . . . . . . . . . . . . . . . . . . . . . . . . 403.17 Anzeige für a) Übertragungsfunktion und b) Leistungsdichtespektrum . . 403.18 Bedienungsschnittstelle . . . . . . . . . . . . . . . . . . . . . . . . . . . . 41

vii

Abbildungsverzeichnis

3.19 Simulations-Inititalisierungsroutine . . . . . . . . . . . . . . . . . . . . . . 44

4.1 Vergleich zwischen Delta Sigma Toolbox und DSS . . . . . . . . . . . . . . 46

viii

Zusammenfassung

Die vorliegende Arbeit befasst sich mit der Thematik rekonfigurierbarer Delta-Sigma-Wandler. Darunter versteht man Multimode-Schaltungen, bei denen die Modi für ver-schiedene Anwendungen (z.B. Kommunikationsstandards) und somit verschiedene An-forderungen optimiert sind und zwischen denen während des Betriebs umgeschaltetwerden kann. Für die Simulation einzelner Modi wird eine Simulationsumgebung, Del-taSigmaSimulator (DSS), in SIMULINK erstellt, die auf einer allgemeinen Topologie fürModulatoren beliebiger Ordnung und einer beliebigen Anzahl an Feedback- bzw. Feed-forwardpfaden basiert. Der DSS verfügt über eine Bedienungsschnittstelle, über die ver-schiedene Parameter eingestellt werden können und implementiert diverse Anzeigen fürSignalverläufe und -spektren sowie einen einstellbaren Signalgenerator. Er integriert Tei-le der DeltaSigmaToolbox[1] von Schreier und stellt eine Plattform dar, die auf einfacheWeise durch neue Module erweitert werden kann. So können die implementierten To-pologien zum Beispiel durch Nichtidealitäten (vgl. sdtoolbox2[2]) oder eine Schnittstellezum MINLP Algorithmus[3][4] zur automatischen Generierung optimaler Topologien er-gänzt werden.

ix

1 Einleitung

Die Zahl der Anwendungen, bei denen ein oder mehrere Delta-Sigma-Wandler eingesetzt

werden, sind in den letzten zwei Jahrzehnten stark angestiegen. Dieser Anwendungsbe-

reich umfasst die langsamen bis mittelschnellen Hochauflösungsapplikationen. Als Bei-

spiele sind digitale Audioverarbeitung und Kommunikation zu nennen. Diese Populari-

tät verdanken die Delta-Sigma-Wandler vor allem den enormen Fortschritten im Bereich

der Digitaltechnik, denn sie erreichen mittels Überabtastung und Rauschformung ei-

ner sehr hohe Auflösung bei relativ niedrigen Anforderungen an Schaltungstoleranzen

im analogen Bereich, jedoch auf Kosten des vergleichsweise komplexeren Digitalteils.

Dies stellt mit den immer schneller und kompakter werdenden Digitalschaltungen kei-

ne große Hürde mehr dar und ist sogar aufgrund der geringeren Leistungsaufnahme

erwünscht.

Eine weitere Eigenschaft, die von den heutigen Schaltungen verlangt wird, ist Flexibilität

bzw. Anpassungsfähigkeit an die schnelllebigen Anwendungen. Dies wird bei normalen

ASICs vom Designzyklus her nicht oder nicht immer kostendeckend erreicht. Während

bei den Digitalschaltungen die FPGAs diesen Wunsch zum immer größer werdenden Teil

erfüllen können, fehlt bei den Analogschaltungen ein Durchbruch in dieser Hinsicht. Die

Multimode-Realisierungen für eine Schaltung stellen zwar keine universellen rekonfigu-

rierbaren Arrays für beliebige Anwendungen dar, ermöglichen aber ein gewisses Maß

an Flexibilität. Sie erweitern nicht nur den Anwendungsbereich einer integrierten Schal-

tung, sondern können auch erhebliche Flächenersparnisse mit sich bringen. Ein wichti-

ges Beispiel stellt der rekonfigurierbare Delta-Sigma-Modulator für ein Multistandard-

Kommunikationssystem dar.

Die Verwendung von SPICE-ähnlichen Simulationsumgebungen als Design-Tool bei den

Delta-Sigma-Wandlern ist aufgrund der sehr hohen Frequenzen (wegen der Überab-

tastung) sehr zeitaufwendig. Außerdem eignen sich diese Design-Umgebungen nur be-

1

1 Einleitung

grenzt für den Entwurf von Multimode-Schaltungen, bei denen Design-Automatisierungs-

und Optimierungs-Algorithmen eingebaut werden sollen, die nicht auf einer Hardware-

Beschreibungssprache basieren. Aus diesem Grund ist es zweckmäßig, Simulationsum-

gebungen auf der Verhaltensebene zu realisieren. Die in [1] vorgestellte Delta Sigma

Toolbox und DAISY in [5] stellen jeweils eine Werkzeugspalette zur Verfügung, die die-

sen Bedarf nur z.T. abdecken. Mit der Simulationsumgebung DeltaSigmaSimulator, die

in SIMULINK realisiert wird, wird versucht, eine universelle Plattform zur Simulation der

Delta-Sigma-Wandlern zu schaffen. Dabei werden Aspekte wie Verwendung eines all-

gemeinen Topologie-Konzepts, stark modularer Aufbau für leichte Erweiterbarkeit und

eine benutzerfreundliche graphische Oberfläche mit integrierter Bedienungsschnittstelle

in den Vordergrund gestellt. Dieses Konzept stellt neben der Möglichkeit der Realisierung

verschiedener Architekturen, eine Schnittstelle für die Implementierung von Topologie-

Generierungsalgorithmen dar.

Die Gliederung der Arbeit ist wie folgt aufgebaut:

Kapitel 2 stellt den Begriff rekonfigurierbare Analogschaltung vor und behandelt die

theoretischen Grundlagen des Delta-Sigma-Wandlers.

Kapitel 3 beschreibt die Implementierung von DeltaSigmaSimulator (DSS).

Kapitel 4 vergleicht DSS mit anderen Tools und stellt die Einsatzmöglichkeiten von DSSdar.

Kapitel 5 gibt Vorschläge über die Implementierungserweiterungen.

Anhang A listet einige der verwendeten MATLAB-Codes auf.

2

2 Grundlagen

In diesem Kapitel werden die Hintergründe der Rekonfigurierbarkeit dargestellt und der

Begriff „rekonfigurierbare Analogschaltung“ vorgestellt (�2.2). Ferner werden die theo-

retischen Grundlagen zu A/D-Wandlern behandelt und das Thema Delta-Sigma-A/D-

Wandler (kurz: DSW) im Detail diskutiert (�2.2). Zum Schluss werden Simulationsme-

thoden und -ebenen sowie zwei Simulationsprogramme besprochen (�2.3)

2.1 Rekonfigurierbare Schaltungen

2.1.1 Prinzip der Rekonfigurierbarkeit

Entwicklungsgeschichte

Seit Beginn des IC-Zeitalters stehen die Produktentwickler vor dem Problem, dass die

Fertigung von Chips nur für eine große Stückzahl kostengünstig durchgeführt werden

kann. Ein Ausweg aus diesem Problem ist, die Schaltung auf Standard-ICs aufzubauen.

Diese ICs implementieren einfache häufigverwendete Funktionen. Für komplexe und

zeitkritische Anwendungen sind sie jedoch allein wegen ihres Platzbedarfs ineffizient,

und für bestimmte Anwendungen existieren überhaupt keine Standard-ICs. Die nächsteLösung bieten die Prozessoren1. Dabei wird die Funktionalität des Chips auf einfache

Operationen beschränkt und die eigentlichen Anwendungen als Software realisiert. Die-

se Methode ist zwar viel langsamer als eine Hardware-Realisierung, ermöglicht aber eine

enorme Flexibilität und eine große Freiheit bei der Implementierung der Funktionen und

ihre Erweiterungen. Auch wenn diese Lösung heute eine große Zahl der Anwendungen

1Dies war übrigens der Grund, warum Intel den ersten Prozessor entwickelte. Ein PC sollte damit nichtgebaut werden!

3

2 Grundlagen

bedient und sogar komplett neue Anwendungsbereiche eingeschlossen hat, bleiben noch

eine Vielzahl an Anwendungen, die entweder so zeitkritisch sind, dass sie unbedingt als

Hardware realisiert werden müssen, oder so kostengünstig gebaut werden müssen, dass

eine Prozessor/Software-Kombination zu teuer wäre. Wenn bei diesen Anwendungen

keine große Stückzahl erwartet wird, können sie nicht als ASIC realisiert werden. Um

diesen Bedarf zu erfüllen, sind konfigurierbare bzw. programmierbare ICs untersucht

und entwickelt worden.

Funktionsprinzip

Zur Realisierung eines Chips, dessen Funktion nicht bei der Produktion festgelegt wird,

existieren verschiedene Verfahren, die hier nicht ausgeführt werden. Einen guten Über-

blick zu den Verfahren liefert [6]. Das wesentliche an allen Verfahren ist die Realisierung

von Schaltern. Diese Schalter können Einmal-Schalter (Fuses bzw. Antifuses), Dauer-

Schalter (Floating-Gates) oder flüchtige Schalter (SRAM-gesteuerte Passtransistoren)

sein. Durch diese Schalter werden gewünschte Verbindungen zwischen den Bauelemen-

ten bzw. Schaltungsblöcken und somit die Funktionen des Chips festgelegt.

2.1.2 Rekonfigurierbare analoge Schaltungen

Definition

Während rekonfigurierbare Digitalschaltungen meistens als sog. Gate-Arrays realisiert

werden, auf denen sich beliebige digitale Funktionen implementieren lassen, ist das

Prinzip der Rekonfigurierbarkeit auf die analogen Schaltungen nur begrenzt anwend-

bar. Der Grund dafür ist, dass analoge Funktionen nicht einfach als boolsche Funktionen

dargestellt und dann in ein Logikgatter-Netz umgesetzt werden können, denn das Vor-

handensein eines Glieds (z.B. eines Verstärkers), sein Aufbau und die Dimension seiner

Bauelemente können je nach Anwendungsgebiet oder Spezifikationen sehr unterschied-

lich sein. Es sind zwar Chips gebaut worden, die Arrays aus Analog-Blöcken beinhal-

ten, in denen die Operationsverstärker-Grundschaltungen mit Hilfe von Kondensator-

und Widerstandsarrays realisiert werden können, aber die meisten analogen Schaltun-

gen haben einen derart individuellen Aufbau, dass sie mit solchen Standard-Bausteinen

4

2 Grundlagen

nicht zu realisieren sind, jedenfalls nicht auf optimaler Weise. Die einzige sinnvolle Art –

die heute bekannt ist – Analogschaltungen flexibel und rekonfigurierbar zu gestalten ist,

Multimode-Schaltungen zu bauen. D.h. es werden mehrere Funktionen oder eine Funk-

tion in verschiedenen Varianten für verschiedene Einsatzbereiche auf einem Chip im-

plementiert und die gewünschte Konfiguration bzw. der gewünschte Modus wird durch

Schalter gewählt. Diese Chips werden meistens für Anwendungen gebaut, bei denen ein

Gerät mit Daten mit verschiedenen Eigenschaften umgehen muss, für die eine allge-

meine Schaltung nicht unter Berücksichtigung der gegebenen Spezifikation realisierbar

ist. Typische Applikationen findet man bei der Telekommunikation. Beim Entwurf von

Multimode-Schaltungen achtet man vor allem darauf, dass die einzelnen Modi möglichst

viele gemeinsame Blöcke verwenden2 und somit die Fläche klein bleibt. Denn nur auf

dieser Weise können Kostenvorteile gegenüber mehreren nicht-rekonfigurierbaren ASIC-

Realisierungen erzielt werden.

Design-Flow

So unterschiedlich die rekonfigurierbaren Analogschaltungen sind, so verschieden sind

auch die Design-Konzepte. Daher wird in dieser Arbeit nur der für den Entwurf des

DSWs relevante Design-Flow nach [7] vorgestellt. Dabei wird folgendermaßen vorge-

gangen:

Zunächst wird eine allgemeine Topologie für die Schaltung erstellt, welche die für al-

le Modi notwendigen Blöcke und möglichen Verbindungspfade zwischen den Blöcken

enthält (siehe Abbildung 2.1). Anschließend wird diese Topologie durch eine Netzwerk-

matrix mathematisch ausgedrückt (siehe Abbildung 2.2).

Als nächstes wird für jeden Modus die optimale Topologie im Bezug auf folgende Krite-

rien ermitteln:

• maximale gemeinsame Nutzung von vorhandenen Blöcken

• Robustheit bzgl. Nicht-Idealitäten (vgl. Abschnitt 2.2.7)

• minimale Leistungsaufnahme

2Engl.: circuit sharing

5

2 Grundlagen

Abbildung 2.1: Allgemeine Topologie einer Multimodeschaltung

• minimale Gesamtkomplexität

Die errechnete optimierte Gesamtschaltung beinhaltet dann alle notwendigen Blöcke

und Pfade für alle Modi und die Schalter, die zwischen den Modi umschalten. Dies ist

in Abbildung 2.3 an dem Beispiel eines Delta-Sigma-Wandlers N-ter Ordnung darge-

stellt.

Der komplette Design-Flow ist in Abbildung 2.4 zu sehen.

6

2 Grundlagen

Abbildung 2.2: Matrizendarstellung einer allgemeinen Topologie für einen Delta-Sigma-Wandler

Abbildung 2.3: Speziell für einen Parametersatz ermittelte Gesamttopologie

7

2 Grundlagen

Abbildung 2.4: Design-Flow für die Ermittlung einer optimalen Architektur einesMultimode-Delta-Sigma-Wandlers

8

2 Grundlagen

2.2 Delta-Sigma-Wandler

Dieser Abschnitt befasst sich mit dem Delta-Sigma-A/D-Wandler (DSW). DSW sind über-

abtastende rauschformende A/D-Wandler. Um ihre Funktionsweise und ihren Anwen-

dungsbereich zu verdeutlichen, wird nach einer Einführung in die theoretischen Grund-lagen der Analog/Digital-Wandlern zunächst ein Überblick über die gängigen Wand-

lungsverfahren gegeben. Ferner werden DSW-Varianten vorgestellt und Implementier-

möglichkeiten und die dabei zu berücksichtigenden Schaltungs-Nicht-Idealitäten im De-

tail diskutiert. Zum Schluss wird eine Gegenüberstellung der verschiedenen Verfahren

vorgenommen und die Einsatzgebiete besprochen.

2.2.1 Theorie der A/D-Wandler

Die A/D-Wandler sind das Bindeglied zwischen der analogen und der digitalen Welt.

Während wir heutzutage für die Verarbeitung der Daten und Signale fast ausschließ-

lich digitale Systems verwenden, weil sich damit sehr komplexe Funktionen sehr genau

realisieren lassen, sind die physikalischen Eingabegrößen für diese Systeme immer ana-

loger Natur3, sei es Audiosignale in der Telekommunikation, Temperaturen bei einem

Container-Überwachungssystem, oder ein Beschleunigungsimpuls bei einer Automotive-

Anwendung. Bei all diesen Beispielen steht im Schaltplan nach dem Sensor und dem

Vorverstärker ein A/D-Wandler. Um aus diesen analogen Größen brauchbare digitale Si-

gnale zu erhalten, müssen die Wandler je nach Anwendung eine gewisse Wandlungsgüte

aufweisen, d.h. es müssen vorgegebene Kriterien (Spezifikationen) erfüllt werden. Diese

Kriterien betreffen folgende – sich oft widersprechenden – Aspekte:

• Wandler-Auflösung

• Wandler-Genauigkeit

• Wandler-Geschwindigkeit

3Dies gilt vielleicht für quantenmechanische Phänomene und Größen nicht, aber für die Erfassung solcherGrößen sind dem Author momentan keine Anwendungsbeispiele bekannt, jedenfalls nicht als integrierteSchaltung.

9

2 Grundlagen

Unter Auflösung versteht man die Anzahl der digitalen Stufen, die der Wandler dem

analogen Eingangssignal zuordnen kann. Sie wird in Bit ausgedrückt, das bedeutet,

dass beispielsweise ein 8-bit-Wandler 256 Stufen ausgibt bzw. quantisiert. Da die Auf-

lösung immer endlich ist, gehen beim Quantisieren immer Informationen verloren, d.h.

der digitale Wert bei einem q-bit-Wandler weist zu jedem Abtastzeitpunkt eine Unsi-

cherheit von 100/2q % auf. Diese Unsicherheit wird – historisch bedingt – als Quanti-

sierungsfehler aufgefasst und dessen im Ausgangssignal enthaltene Gesamtleistung als

Quantisierungsrauschen bezeichnet. Die Kenngröße für die Auflösung ist der Signal–Quantisierungsrauschabstand (SQNR = signal to quantization noise ratio) und wird in

dB ausgedrückt.

Als Genauigkeit des Wandlers bezeichnet man seine Fähigkeit, das Eingangssignal –

abgesehen vom Quantisierungsfehler – möglichst verzerrungs- und rauschfrei auf das

Ausgangssignal abzubilden. Die Verzerrung kann dabei in folgenden Formen auftau-

chen:

• Offset-Fehler

• Verstärkungsfehler

• Nicht-Linearität (integrale sowie differentielle)

Die Kenngröße für die Genauigkeit bezogen auf die Verzerrung ist Signal–Verzerrungs-rauschabstand (SDNR = signal to distortion noise ratio) und wird ebenfalls in dB ange-

geben.

Außer Verzerrung, die ein deterministischer Fehler ist, existieren eine Reihe von stochas-

tischen Unsicherheiten, die durch den nicht-idealen Effekten in der Schaltung hervorge-

rufen werden. Für diese Unsicherheit wird hier der Begriff Schaltungsrauschen definiert.

Die nicht-idealen Effekte werden im Abschnitt 2.2.7 für den DSW ausgeführt.

Oft werden Quantisierungrauschen, Verzerrungsrauschen und Schaltungsrauschen zu-

sammengefasst und als Systemgesamtrauschen oder Wandlerrauschen dargestellt. Die

zugehörige Kenngröße Signal–Rauschabstand (SNR=signal to noise ratio)4 drückt so-

mit die Gesamtperformance des Wandlers in dB aus. Parallel dazu werden auch die

4In der Literatur (z.B. in [8]) wird SNR manchmal auch an der Stelle von SQNR verwendet. In dieserArbeit wird die Definition von SNR, die in der Nachrichtentechnik verwendet wird bevorzugt.

10

2 Grundlagen

Begriffe dynamischer Bereich (DR=Dynamik Range) für das Leistungsverhältnis des Ein-

gangssignal (statt, wie bei SNR des Ausgangssignals), zum Ausgangsgesamtrauschen

bzw. effektive Bitzahl (ENOB=effective number of bits) definiert. Die beiden Begriffe

drücken den selben Zusammenhang aus, aber DR wird in dB dargestellt und für ENOB

wird dieser „rauschfreie“ Bereich, auf die Auflösung des Wandlers bezogen, in Bit dar-

gestellt.

Das nächste Gütekriterium, Wandlergeschwindigkeit, ist der Kehrwert der Zeit, die der

Wandler benötigt, um für einen Abtastwert einen stabilen digital Wert auszugeben.

Diese Zeit bestimmt die maximale Abtastrate der Wandler-Eingangsstufe und damitüber Nyquist-Kriterium (mit Ausnahme von Pipeline-Wandlern) die maximale Signalfre-

quenz5. Die Definition einer Fehlergröße als Kenngröße ist nicht sinnvoll, denn bei der

Nicht-Einhaltung der Abtastraten-Untergrenze sind alle gelieferten Digital-Werte falsch.

In diesem Fall ist die Signalfrequenz die Kenngröße.

Bei der Definition der System-Spezifikation eines Wandlers werden meistens die zwei

Kenngrößen DR und Signalfrequenz bzw. SNR und Signalfrequenz angegeben.

2.2.2 A/D-Wandlungsverfahren

Die A/D-Wandler (ADW) werden vom Prinzip her in zwei Kategorien6 aufgeteilt:

Nyquist-Rate-Wandler (NRC): Bei diesem Wandlertyp steht nach dem Wandelprozess

zu jedem Eingangs-Abtastwert ein digitaler Wert am Ausgang.

Überabtastende Wandler (OSC): Diese Art der Wandler arbeiten intern mit einer viel

höheren Frequenz als die Nyquist-Rate (20..1024 fs). Der laufende Mittelwert am

Ausgang entspricht dem Abtastwert. Sie verwenden fast immer eine Rauschfor-

mungstechnik.

Diese Wandlerkategorien werden in den nächten zwei Unterabschnitten ausgeführt.

5Signalfrequenz = Mittenfrequenz + Bandbreite6Diese Einteilung ist von [8] übernommen worden und erscheint dem Author im Hinblick auf die heutigen

Anwendungen angemessener als die Klassifizierung nach [9], in der die überabtastenden Wanlder alsUntergruppe der integrierenden Wanlder aufgeführt sind.

11

2 Grundlagen

2.2.3 Nyquist-Rate-Wandler (NRC)

Allgemein gesprochen bilden die NRC die Gruppe der schnelleren Wandlern mit ei-

nem Komplexität/Auflösung-Tradeoff (Ausnahme: integrierende Wandler). In diesemAbschnitt werden die gängigen Verfahren dieser Gruppe aufgelistet und ihre Funktions-

weise kurz erläutert. Eine ausführlichere Erläuterung dieser Verfahren bieten [8] und

[9]:

Integrierende Wandler Die ADW dieses Typs erlauben eine sehr genaue Erfassung lang-

sam veränderlicher Größen. Sie besitzen kleine Offset- und Verstärkungsfehler und

sind zudem sehr linear. Außerdem ist ihre Schaltungskomplexität sehr gering. Bei

diesem Verfahren wird mit einem Regler versucht, die Differenz zwischen dem Si-

gnal und einem Referenzwert auf Null zu bringen. Die Zeit, die der Regler dafürbenötigt wird mittels eines Zählers gemessen. Diese Zeit entspricht dann dem digi-

talen Wert. Ein bekannter Vertreter dieses Verfahrens ist der Zwei-Flanken-Wandler

(Dual-Slope Ccnverter).

Wandler nach dem Verfahren der sukzessiven Approximation Dieser Wandlertyp ge-

hört wegen der relativ hohen Wandlungs-Geschwindigkeit und moderater Schal-

tungskomplexität zu den häufig verwendeten Wandlern. In diesem Verfahren wird

nach dem richtigen Wert mittels Intervall-Halbierung (binäre Suche) „gesucht“,

d.h. es wird nach einem Vergleich mit einer der Intervallgrenzen, der richtige Wert

immer besser angenähert, bis keine Halbierung mehr möglich ist (bis die maximale

Auflösung des Wandlers erreicht ist). Dabei wird der Digitalwert von links (MSB)

nach rechts (LSB) Bit für Bit ermittelt.

Algorithmische (/zyklische) Wandler Diese Art Wandler funktioniert auf einer ähnli-

chen Weise wie der, auf der sukzessive Approximation basiert, mit dem Unter-

schied, dass statt der Halbierung der Referenzspannung der Abstand dazu solange

verdoppelt wird, bis der Eingangswert innerhalb dieses Bereich liegt, dann geht

die Dopplung von der anderen Seite los und vergrößert den Bereich nach unten.

Dieser Wechsel findet solange statt bis keine Dopplung nicht mehr möglich ist (der

Wert immer innerhalb des Bereichs bleibt).

Flash(/Parallel)-Wandler Diese Klasse der Wandler sind die schnellsten und haben den

größten Schaltungsaufwand, denn sie benötigen für eine n-Bit-Auflösung 2n Kom-

12

2 Grundlagen

paratoren. Dabei wird das Eingangssignal mit allen möglichen Ausgangswerten

gleichzeitig verglichen und anschließend durch einen 2n-zu-n-Wandler in einen

digitalen Wert umgewandelt.

Pipeline-Wandler In dieser Methode wird das Signal durch eine Kette von n Kompa-

ratoren geleitet und an jeder Stufe der Kette mit der Wertigkeit einer Bitstelle

verglichen. So wird das Vorhandensein dieses Bits im digitalen Wort festgestellt.

Diese Wandler haben eine konstante Wandelverzögerung, können aber aufgrund

ihrer Struktur in jedem Zyklus einen neuen Abtastwert aufnehmen. Dadurch errei-

chen sie eine relativ hohe Wandelgeschwindigkeit mit vergleichsweise geringemSchaltungsaufwand.

2.2.4 Überabtastende Wandler (OSC)

Der Begriff Überabtastung (Oversampling) wird fast immer zusammen mit Rauschfor-

mung (Noise-Shaping) und in Verbindung mit den Delta-Sigma-Wandlern gebraucht.

Die Betrachtung dieser Art von Wandlern als eine Extragattung gegenüber den im vori-

gen Unterabschnitt besprochenen Wandlerarten ist dennoch gerechtfertigt, denn obwohl

diese Wandler alle denselben Namen tragen, haben sie viele unterschiedlichen Varian-

ten und Architekturen, die je nach Anforderungen zum Einsatz kommen. Diese Varian-

ten werden im nächsten Unterbschnitt (Abschnitt 2.2.5) vorgestellt. In diesem Unterab-

schnitt beschäftigen wir uns mit dem Aufbau und dem Funktionsprinzip der OSC bzw

DSW.

Die DSW bestehen im Wesentlichen aus zwei Teilen, dem Delta-Sigma-Modulator7 (DSM)

und dem Dezimierer. Ein allgemeines Modell für die DSW ist in Abbildung 2.5 darge-stellt. Im Modulator wird aus dem überabgetasteten Eingangssignal eine hochfrequente

Folge grobquantisierter Abtastwerte (meistens zweistufig quantisiert, also eine Bitfolge

(Bitstream)) generiert und im Dezimierer wird aus dieser Folge durch das Tiefpassfiltern

und gleichzeitiges Unterabtasten das digitale Signal erzeugt. Um die Funktionsweise des

7Der Name Modulator kommt daher, dass bei der am häufigsten verwendeten Quantisierungsmetho-de, nämlich 1-bit-Quantisierung, der generierte Bitstream der in Nachrichtenübertragung verwendetenPulsbreiten-Modulation des Signals ähnelt.

13

2 Grundlagen

Modulator zu erklären, werden zunächst die Prinzipien der Überabtastung und Rausch-

formung auf welcher die Delta-Sigma-Modulation basiert, erläutert. Anschließend wird

der Begriff der Dezimierung beleuchtet.

Abbildung 2.5: Schematische Systemdarstellung eines DSWs

Überabtastung

Durch die Überabtastung des Signals um die sog. Überabtastrate (OSR = Oversampling

Ration) wird die Energie des Quantisierungrauschens auf einen breiteren Frequenzbe-

reich verteilt und somit im Signalband gesenkt. So erreicht man für jede Verdopplung

der OSR eine Erhöhung des SQNR um 3dB bzw. eine Erhöhung der ENOB um 0.5

Bit. Dadurch lässt sich mit einem groben Quantisierer (meistens 1-Bit-Quantisierer) eine

hohe Auflösung erreichen. [8]

Rauschformung

Überabtastung allein ist jedoch nicht genug, um mit den Nyquist-Rate-Wandlern zu kon-

kurieren. Deshalb versucht man durch Rauschformung den Quantisierungs-Rauschpegel

noch weiter zu senken. Dabei wird vor dem Quantisierer ein sog. Loop-Filter (LF) ge-

schaltet und das Ausgangssignal des Quantisierers negativ rückgekoppelt (siehe Abbil-dung 2.6).

Wenn man nun den Quantisierer als eine additive Rauschquelle mit der Funktion E(z)betrachtet, lassen sich für die Übertragungsfunktionen des Signals und des Rauschens

folgende Beziehungen herleiten:

14

2 Grundlagen

Abbildung 2.6: Allgemeine Form eines DSWs

STF (z) =H(z)

1 + H(z)(2.1)

NTF (z) =1

1 + H(z)(2.2)

Die einfachste Form des LFs ist ein verzögernder Integrator, wie in Abbildung 2.7 dar-

gestellt ist. In diesem Fall ist das Filter nach Gleichungen (2.1) und (2.2) für das Rau-

schen ein Hochpass und für das Signal lediglich eine Zeitverzögerung – d.h. die Signal-

form wir dabei nicht geändert. Das Filter ist also bei der Wahl richtiger Parameter für

das Eingangssignal durchlässig8 aber das Quantisierungsrauschen wird im Nutzbereich

unterdrückt. Regelungstechnisch betrachtet, wird hierbei mittels eines PI-Reglers ver-

sucht, für den Quantisierer als Strecke die Differenz zwischen dem Eingangswert als

Soll-Größe und dem quantisierten Wert als Ist-Größe – also den Regelfehler – zu elimi-

nieren.

Abbildung 2.7: DSM erster Ordnung

8Diese Eigenschaft des Loop-Filters wird bei den zeitkontinuierlichen Realisierungen genutzt, um das Si-gnal zu bandbegrenzen, so wird dann kein Antialiasing-Filter mehr benötigt.

15

2 Grundlagen

Durch Verbessungen am LF z.B. durch Erhöhung der Filterordnung kann der SQNR wei-

ter gesteigert werden, meist jedoch auf Kosten der Systemstabilität. [8]

Dezimierung

Das Spektrum der Folge, die der Modulator erzeugt hat, besteht aus dem Signalspek-

trum und dem Spektrum des Quantisierungsrauschens, das im Signalband unterdrückt

worden ist und außeralb des Bandes einen großen hochfrequenten Anteil hat. Dieser

Anteil muss nun herausgefiltert werden. Dafür werden digitale Tiefpassfilter verwendet,

die üblicherweise als sinc-FIR-Filter9 bzw. sinc2-FIR-Filter realisiert werden. Dabei wird

das Signal im Frequenzbereich mit einer periodischen Si- bzw. Si2-Funktion multipliziert.

Dies entspricht der Faltung mit einer Rechteck- bzw. Dreieckfunktion im Zeitpereich, die

nichts anders als eine wandernde Mittlung der Signalfolge bedeutet[1]. Das ist genau

das, was das Delta-Sigma-Prinzip ausmacht: Durch die Mittlung vieler grobquantisierter

Abtastwerte errechnet man den „genauen“ Wert.

2.2.5 Varianten des DSWs – Wandler-Parameter

Wie im vorigen Unterabschnitt erklärt wurde, kann durch die Überabtastung und Rausch-

formung bereits bei einem einfachen LF erster Ordnung, das aus einem verzögernden

Integrator besteht, mit einem Standard-Quantisierer (1-Bit) ein hoher SQNR erreicht

werden. Durch Verbesserungsmaßnahmen am LF können noch höhere Performanzen

erzielt werden. Diese Maßnahmen beinhalten:

• Erhöhung der Modulator-Ordnung

• Erhöhung der Anzahl der Quantisierungsstufen

• Verwendung von Mehrstufigen Wandlern

• Verwendung raffinierterer Architekturen

9sinc wegen sinc-funcion – der im englischsprachigem Raum übliche Bezeichnung für die Si-Funktion�Si(x) = sin(x)/x

16

2 Grundlagen

Modulator-Ordnung

Unter der Modulator-Ordnung (N) versteht man die Ordnung des verwendeten LFs.

Für N sind außer 1, Zahlen zwischen 2 und 5 üblich. Gelegentlich finden sich auchModulatoren 6. Ordnung. Die Erhöhung der Ordnung verbessert den SQNR, denn

während der Einfluss des Filters auf das Signal weiterhin fast vernachlässigbar bleibt,

wird mit steigender Ordnung das Rauschen mit einer steileren Flanke hochpassgefil-

tert.

Quantisierungsstufen

Die 1-Bit-Quantisierer haben den Vorteil, dass sie immer linear bleiben, aber um Ihre

Stabilität zu sichern, wird die maximale Amplitude des Eingangssignals etwas einge-

schränkt. Die Verwendung von mehrstufigen Quantisierern (q-Bit-Wandler: Quantisie-

rungstufen-Zahl Q = 2q) löst dieses Problem durch die Erhöhung der Stabilität des Sys-

tems und erhöht auch die SQNR um 6dB pro zusätzlichem Bit. Diese Verbesserung geht

aber auf Kosten der Linearität und erhöht die Komplexität der Schaltung enorm. Aus

diesen Gründen werden solche Quantisierer selten verwendet.

Mehrstufigen DSW

Eine weitere Möglichkeit für die Steigerung des SQNRs ist, den Quantisierungsfehler

zu einem zweiten DSM zu leiten, der dann eine höhere Auflösung liefert, weil sich der

Quantisierungsfehler in einem kleinen Bereich befindet. Für diese mehrstufigen Wandler

findet man in der Literatur auch die Bezeichnung MASH-Wandler (für Multstage Noise-

Shaping)

Architektur

Bereits bei der 2. Ordnung ergeben sich viele Möglichkeiten für die Realisierung, die in

[1] zu lesen sind und hier nicht alle ausgeführt werden. Zwei Grundtypen mit jeweils

zwei alternativen Realisierung sind jedoch aufgrund ihres modularen Aufbaus und der

17

2 Grundlagen

Skalierbarkeit von großer Bedeutung, insbesondere im Hinblick auf Entwurfsautomati-

sierung mittels Methode der allgemeinen Toplogie�2.1.2. Die Grundtypen unterscheiden

sich wie folgt:

Vorwärtsgekoppelte Modulatoren (FF): Das Ausgangsignal des Modulators wird nur

der ersten LF-Stufe zurückgeführt. Die Ausgänge aller Stufen werden vor dem

Quantisierer addiert.

Rückgekoppelte Modulatoren (FB): Das Ausgangssignal wird zu jeder Stufe rückge-

koppelt. Am letzten Summationspunkt vor dem Quantisierer werden nur der Aus-

gang der letzten Stufe und das Eingangssignal berücksichtigt.

Die Untertypen unterscheiden sich in den Integratorarten. Wenn die Integratoren alle

als verzögernde Integratoren realisiert sind, spricht man von einer Kaskadierung von

Integratoren (CI = Cascading Integrators), und wenn sie abwechselnd verzögernd und

nicht verzögernd gewählt werden und eine lokale Rückkopplung besitzen, bezeichnet

man sie als eine Kaskadierung von Resonatoren (CR = Cascading Resonators). Diese

Grund- und Untertypen ermöglichen also folgende vier Realisierungsformen: CIFF, CRFF,CIFB und CRFB.

Die vorgestellten Typen werden, je nach dem welche Vorgaben bzgl. OSR, Genauigkeit

und Stabilität gestellt sind, gewählt.

2.2.6 Implementierung des DSWs

Für die DSW existieren zwei mögliche Realisierungen, die sich auf die verwendeten

passiven Bauelemente beziehen:

CT: zeitkontinuierlich, mittels RC-Glieder

SC: zeitdiskret, mittels Switched Capacitors (geschalteten Kondensatoren, SC)

Beide Realisierungsformen haben ihre positiven aber auch negative Aspekte, die hier

vorgestellt werden.

18

2 Grundlagen

Abbildung 2.8: Zeitkontinuierliche Realisierung

Zeitkontinuierliche Realisierung

Ein Beispiel für die zeitkontinuierliche Form ist in Abbildung 2.8 zu sehen. Diese Rea-

lisierung bietet den Vorteil, dass das analoge Signal direkt angelegt werden kann und

ein Sample&Hold-Glied nicht mehr nötig ist, hat aber den Nachteil, relativ empfind-

lich gegenüber Prozessschwankungen zu sein. Die Herstellung von Widerständen mit

einer sehr geringen Toleranz ist überhaupt nicht oder erst mit großem Aufwand mög-

lich. Infolge dessen kommen die auf dieser Weise realisierten DSWs nur bei niedrigeren

Performanz-Anforderungen zum Einsatz.

Realisierung mit Switched-Capacitors

Switched Capacitors (SC, geschaltete Kondensatoren) werden in den integrierten Schal-

tungen oft für die Realisierung kompakter und hoch genauer Widerstände verwendet.Sie besitzen außerdem den Vorteil, dass die Werte aller Widerstände in eine Schaltung

von einer zentralen Clock bestimmt und damit skaliert werden können.

Die hohe Genauigkeit der SC erlaubt eine präzise Einstellung der NTF bei einem DSW.

Daher wird eine Realisierung des DSW mit SCs, wie in Abbildung 2.9 dargestellt ge-

genüber der CT-Realisierung bevorzügt. Eine Ausführliche Behandlung finden die The-

men „Entwurf von geeigneten SC-Schaltungen“ und „Generierung der Clocksignale“

in [10]. Darüber hinaus bietet [10] viele für den Design hilfreiche Details über die

SCs.

19

2 Grundlagen

Abbildung 2.9: Zeitdiskrete Realisierung

2.2.7 Nicht-Idealitäten des DSWs

In diesem Unterabschnitt werden die Nicht-Idealitäten (NI), die bei der Realisierung der

Schaltung eines zeitdiskreten DSW relevant sind, in Umrissen beschrieben. Eine ausführ-

lichere Darstellung dieser Effekte und ihrer Verhaltensmodelle sind in [10], [11] und

[12] zu finden. Die NI können in drei Kategorien klassifiziert werden:

• resonator/integrator-bezogene NI

• clock-bezogene NI

• 1/f-Rauschen10 (Flicker Noise)

Die NI bei den SC-Integratoren tauchen bei den Operationsverstärkern (OpV) und Kon-

densatoren und als thermisches Rauschen auf.

OpV – endliche Gleichspanungsverstärkung: Ein realer OpV hat immer eine endlicheGleichsspannungsverstärkung. Dies führt zu einem Verstärkungsfehler und damit

zur Verzerrung des Signals. Außerdem werden die Nullstellen der NTF dadurch

von ihrer optimalen Stelle verschoben, was sich negativ auf die SQNR auswirkt.11

OpV – Verstärkungsbandbreite und Slew Rate: Da jede reale OpV lastkapazitätsab-

hängige Bandbreite und Slew Rate12 aufweist, können bei den SC-Schaltungen

10In den Fachwörterbüchern findet man für Flicker Noise auch den Begriff Funkelrauschen.11Das Thema Nullstellenoptimierung ist in [1] behandelt worden.12Auch Anstiegsgeschwindigkeit genannt

20

2 Grundlagen

Timing-Probleme auftauchen. D.h. dass der Integrator am Ende der Clock-Periode

die transiente Phase noch nicht beendet hat und damit das Signal noch nicht steht.

Dies führt zu zusätzlichen Oberschwingung, die das Signal verzerren. Die SC-Clock

muss diesen Werten angepasst werden.

Kondensator-Fehlanpassung: Die Schaltungskoeffizienten werden durch die Größe der

Kondensatoren und die Clock-Frequenz realisiert. Wenn die Kondensatoren große

Toleranzen aufweisen, werden die Koeffizienten fehlerhaft eingestellt, folglich wird

die NTF und somit die SQNR negativ beeinflusst. Dieser Einfluss ist jedoch in-

nerhalb der üblichen CMOS-Technologietoleranzen vernachlässigbar klein.

thermisches Rauschen der Schalter: Die Schalttransistoren besitzen wie alle andere

Bauelemente thermisches Rauschen. Dieses Rauschen wirkt auf das Eingangssignal

wie ein additives weißes Rauschen, denn durch das Rauschen fließen in beiden

Richtungen kleine Ströme über den Transistor, die die gespeicherte Ladung im

Kondensator und damit den Wert des Abtastwertes beeinflussen. Dieses Rauschen

wird für größere Kondensatoren immer kleiner.

Die NI, die die Clock betreffen sind:

Jitter: Als Clock-Jitter bezeichnet man eine stochastische Variation der Clockperiode,

die eine Variation der Abtastzeit zur Folge hat. Diese Unregelmäßigkeit in der Ab-

tastrate führt zu einer Fehlrekonstruktion des Ausgangssignals im Dezimierer und

senkt somit den SNR des Wandlers.

Timing-Skew Bei der Steigung der Schaltungskomplexität und der damit verbunde-

nen Vergrößerung der Schaltungsfläche werden die Clock-Leitungen manchmal so

lang, dass sie bei einer hohen Frequenz bereits als Leitungselemente13 zu betrach-

ten sind. Sie beweirken also verschieden lange Verzögerungen des Clock-Signals

an unterschiedlichen Stellen. Dieses Phänomen wird Timing-Skew genannt und

führt dazu, dass die Schalter, die gleichzeitig getätigt werden müssen, zeitversetzt

öffnen/schließen und das Signal wird dadurch verrauscht und im extremen Fallkomplett verfälscht. Timing-Skew kann durch das Einbauen zusätzlicher Verzöge-

rungsketten (z.B. Inverter-Paare) in die kurzen Strecken kompensiert werden. Da-

13Transmission Lines

21

2 Grundlagen

für muss jedoch das Layout der Schaltung (oder zumindest der Floor-Plan) bereits

bekannt sein.

1/f Rauschen

Die letzte NI die hier erläutert wird ist das 1/f-Rauschen. Dieses Rauschen entsteht

durch stochastisch auftretende Ströme, die aufgrund elektrischer Entladungen in sehr

hohen elektrischen Feldern bei Submikrometer-Bauelementen fließen und sind wegen

ihrer niedrigen Frequenz nur bei den Tiefpass-DSWs signifikant. Eine Technik namens

Chopping, die durch zusätzliche Schalter realisiert wird, unterdrückt z.T. dieses Rau-

schen. [10]

2.2.8 Vergleich und Anwendungsbereiche

Bei der Wahl des geeigneten Wandlertypen für eine spezielle Anwendung muss zunächst

die Spezifikation des Wanlders festgelegt werden. In dieser Spezifikation sind neben

den in Abschnitt 2.2.1 diskutierten Kenngrößen auch andere Parameter wie Flächen-

bedarf oder Leistungsaufnahme (beide schränken die maximale Komplexität ein) sowie

Technologie-Kompatibilität und Störanfälligkeit enthalten. Wenn die Parameter festste-

hen, kann der Wandlertyp, der diese Anforderungen am Besten erfüllt in Betracht gezo-

gen werden und entsprechend entworfen werden. Die wichtigsten Entscheidungskriteri-

en sind dabei:

1. Wandelauflösung (ENOB, DR)

2. Signalbandbreite

3. Wandelgeschwindigkeit (fs)

4. Leistungsaufnahme

5. Robustheit gegenüber NI

Diese Kriterien sind allerdings nicht von einander unabhängig und bilden oft paarwei-

se ein Tradeoff. Bei den Nyquist-Rate-Wandlern (NRC) stehen sich z.B. Auflösung und

Geschwindigkeit bzw. Geschwindigkeit und Leistungsaufnahme oft gegenüber und ein

22

2 Grundlagen

Abbildung 2.10: Einsatzbereich verschiedener Wandlertypen bzgl. der Auflösung und derFrequenz des zu konvertierenden Signals

typischer Tradeoff bei den überabtastenden Wandlern (OSC) besteht zwischen Auflö-

sung und Bandbreite. Dieser Zusammenhang wird in der Tabelle 2.1 und Abbildung 2.10

verdeutlicht.

Tabelle 2.1: Geschwindigkeit/Auflösung-Tradeoff

Geschwindigkeit niedrig bis mittel mittel hochAuflösung hoch mittel niedrig bis mittelWandlertyp integrierend Sukz. Approx. Flash

Delta-Sigma algorithmische Pipeline

Die OSC sind bzgl. Robustheit vom Prinzip her besser als die NRC, denn bei den OSC

sind die Toleranz-Anforderungen an den Analogteil wesentlich lockerer und er ist deut-

lich simpler aufgebaut. Insofern kann die Realisierung des Analogteils in weniger präzi-

seren Technologien und entsprechend günstiger stattfinden.[13] Außerdem können mit

den DSWs viel höhere Auflösungen erreicht werden. Die Nachteile der DSW, nämlich ih-

re vergleichsweise geringe Wandelgeschwindigkeit und ihre schmale Bandbreite werden

meist durch Verwendung mehrerer parallelen DSW, die zusammen einen sog. Mehrkanal-

DSW bilden, ausgeglichen.[14]. Diese Merkmale verschaffen ein sehr breites Anwen-

dungsgebiet für die Delta-Sigma-Wandlern, das viele der Bereiche, die früher von den

Nyquist-Rate-Wandlern dominiert wurden, komplett abdeckt.

23

2 Grundlagen

2.3 Simulation

Dieser Abschnitt behandelt das Thema Simulation als Design-Werkzeug, wie es bei vie-

len gängigen CAD-Tools angewendet wird. Zunächst wird der Begriff Simulationsebene

erläutert, dann werden zwei der wichtigsten Simulation-Tools, nämlich SIMULINK undSPICE-ähnliche Simulatoren vorgestellt.

2.3.1 Simulationsebenen

In der Halbleiterschaltungstechnik verwendet man im Design-Flow eines Systems bzw.

eines Chips je nach Abstraktionsniveau verschiedene Simulationsansätze, die dann als

eine Simulationsebene betrachtet werden. Man unterscheidet zwischen vier Simulati-

onsebenen:

Systemebene Die Systemeinheiten werden als voneinander unabhängig funktionieren-

den idealisierten Blöcke dargestellt, die durch ihre Ein- und Ausgänge miteinan-

der kommunizieren. Die Implementierung der Einheiten beschränkt sich meistens

auf die wesentlichen Merkmale der Einheit. Nicht-Idealitäten, Zeitverzögerungen

und Verluste werden nicht berücksichtigt. Diese Simulationsebene eignet sich fürdie ersten Entwürfe, Produktpräsentationen und als Grundlage zur Festlegung der

Produkt-Spezifikationen.

Verhaltensebene Es wird versucht, das Verhalten der Einheiten möglichst genau zu mo-

dellieren. Dabei wird das Gesamtsystem in Teilsysteme aufgeteilt, die jeweils auf

ein oder mehrere Eingangssignale mit ihren Ausgangssignalen antworten. Dafür

werden die Teilsysteme mathematisch und möglichst durch Übertragungsfunktio-

nen beschrieben, so können auch die nicht-ideale Aspekte und Timing berücksich-

tigt werden, aber die Blöcke haben klar definierte Ein- und Ausgänge, d.h Wech-

selwirkungen zwischen den Einheiten werden nicht in Betracht gezogen. Die Si-

mulationen auf dieser Ebene sind heute zum Einen dank immer leistungsfähigeren

Computer und zum Anderen durch die gestiegene Komplexität der Schaltungenzu einem sehr wichtigen und mächtigen Werkzeug beim Produkt-Design gewor-

den [15]. Die Simulationsprogramme auf dieser Ebene erlauben ein übersichtliches

24

2 Grundlagen

Design auf einer hohen Abstraktionsebene und erfüllen gleichzeitig hohe Ansprü-

che in Bezug auf „Realitätstreue“. Es wird in der Branche deshalb momentan das

noch relativ ferne Ziel angestrebt, den Design-Flow mit diesen Ebenen abzuschlie-

ßen und die darunter liegenden Ebenen soweit zu automatisieren, dass das System

sich letztlich so verhält, wie es in der Verhaltensebene dargestellt wird. Der Fokus

dieser Arbeit liegt auch auf dieser Ebene.

Transistorebene Auf dieser Ebene trennt man sich von der Systemtheorie und den

Übertragungsfunktionen. Hier wird das System als ein Netzwerk von Bauelemen-

ten mit ihren physikalischen Eigenschaften betrachtet, die miteinander in Wech-selwirkung stehen. Die Teilsysteme werden nicht jeweils durch ihre Antwort auf

Signale beschrieben, sondern durch ihre Spannung-Strom-Verhältnisse an ihren

Toren. Diese Teilsysteme werden als Matrizen dargestellt und miteinander ver-

knüpft, anschließend lassen sich für an verschiedenen Toren angelegte Signale,

die Spannung bzw. den Strom an andere (leerlaufende) Tore durch das Lösen von

Differentialgleichung und die Linearisierung der Kennlinien für den Arbeitspunkt

ermitteln. Die Simulation auf dieser Ebene ist aufgrund hoher Rechenaufwand nur

für einzelne Bauelemente (z.B. einen OpV) bzw. kleine Schaltungseinheiten sinn-

voll und wird in der Praxis für die Charakterisierung kritischer Schaltungsblöcke

verwendet.

Layoutebene Die letzte Stufe beim Design einer Platine oder eines Chips ist das Er-

stellen des Layouts. An dieser Stelle müssen neben allen gewollten Effekten, auchdie parasitären Effekte einbezogen werden, die durch die Verkopplungen zwischen

den Bauelementen und den Leiterbahnen aufgrund ihrer Position im Layout ent-

stehen. Dafür stellen die Layout-Simulatoren eine sog. Post Layout Simulation zur

Verfügung, die parasitären Koppeleffekte als parasitäre Bauelemente auffassen und

ihre Ersatzschaltbilder nachträglich in die Schaltung einbauen, wodurch die Eigen-

schaften der Schaltung beeinträchtigt werden und der Designer somit die Mög-

lichkeit bekommt, das Layout entsprechend anzupassen. Die Ergebnisse aus dieser

Simulation führen häufig zu einem Satz an Design-Rules, die dann in das Layout-

Programm eingebaut werden, um die Entstehung der parasitären Effekte schon

beim Layout-Design soweit wie möglich zu verhindern. Theoretisch könnte man

mit einem vollständigen Design-Rule-Satz auf eine Simulation auf der Layoutsebe-

ne verzichten, was auch zu den angestrebten Zielen gehört, die oben angesprochen

25

2 Grundlagen

wurde. Für digitale Schaltungen ist dies bereits zum größten Teil möglich.

2.3.2 SIMULINK

SIMULINK ist eine allgemeine modellbasierte Simulationsumgebung von der Firma Ma-

thWorks, in der sich Verhaltenssimulationen gut realisieren lassen. Die Systeme werden

durch ihre Übertragungsfunktion (tf), durch die Beschreibung ihres Verhaltens in einem

Programm-Code (s-/m-function), oder hierarchisch durch Zusammenschaltung anderer

bereits realisierten Systeme, die selbst auch aus Untersystemen bestehen können, be-

schrieben und als ein Blockschaltbild visualisiert. So lässt sich die Übersichtlichkeit ei-

nes graphischen Modellierungskonzepts mit der Stärke und der Freiheit einer Program-

miersprache (M) kombinieren. Außerdem verfügt SIMULINK über Schnittstellen zu den

gängigen Hardware-Beschreibungssprachen, die das Umsetzen des modellierten System

in eine synthetisierbare Schaltung versprechen.

Im SIMULINK-Standardpaket sind verschiedene grundlegende Teilsysteme, Signalquellen

und Anzeigen (Signalsenken) bereits vorhanden und können in das Modell eingebaut

werden. Darüber hinaus existieren eine Reihe von Erweiterungspakete, die sog. Tool-

boxes, die jeweils eine Ansammlung von anwendungsspezifischen Blöcken darstellen.

Z.B. stellt die Signal-Processing-Toolbox Blöcke zur Signalmanipulation und -Analyse be-

reit.

Wenn das System modelliert ist, kann es anhand der Signal-Quellen angeregt werden

und die Antwort des Systems lässt sich an beliebigen Stellen durch diverse Anzeigen

darstellen.

Für die Simulation vereinfacht SIMULINK zunächst das erstellte Modell, stellt dann ein

Differentialgleichungssystem auf und formuliert ein Anfangswertproblem. Dieses Pro-

blem wird dann mittels nummerischer Verfahren mit fester bzw. variabler Schrittweite

(z.B. das Runge-Kutta-Verfahren) gelöst. Dabei stützt sich SIMULINK auf der Funktions-

bibliothek von MATLAB.

26

2 Grundlagen

2.3.3 Spice-ähnliche Simulationstools

Die SPICE-ähnlichen Simulationsprogramme sind Schaltungssimulatoren, die auf die

Methode der Netzwerkberechnung basieren. Dabei erfolgt die Eingabe der Schaltungmeist über eine graphische Oberfläche, in der die Bauelemente aus verschiedenen Bi-

bliotheken entnommen und durch ihr Symbol repräsentiert werden. Aus dieser sym-

bolischen Schaltung wird dann eine Netzliste erstellt, in der die Netzwerkknoten und

die an diesen Knoten angeschlossenen Bauelemente beschrieben sind. Aus der Netzliste

lässt sich für die gesuchten Ströme und Spannungen ein Differentialgleichungssystem

aufstellen und lösen.

Diese Art von Simulatoren sind fester Bestandteil jedes CAD-Tools für den Schaltungs-

entwurf und werden bei der Simulation kleiner bis mittlerer Schaltungen insbesondere

für die Analogschaltungen verwendet.

Die Stärke der Spice-Simulatoren liegt in der realitätsnahe Wiedergabe des physikali-

schen Verhaltens der Schaltung. Dies wird durch die physikalischen Bauelemente-Modelle

erreicht. Diese Modelle werden oft anhand von Messungen definiert. Dadurch lassen sich

auch die nicht-idealen Verhalten der Bauelemente leicht beschreiben. Außerdem lassen

sich durch parametrischen Simulationen, Dimensionierungen an einzelnen Bauelemen-

ten der Schaltung durchführen. So können die Bauelementegrößen zunächst grob abge-

schätzt und dann fein eingestellt werden.

27

3 Verfahren

Dieses Kapitel stellt die Vorgehensweise bei der Erstellung der Simulationsumgebung

DeltaSigmaSimulator (DSS) in SIMULINK dar (siehe Abbildung 3.1). Zunächst wird im

ersten Abschnitt (�3.1) das Simulationsmodell des DSWs erläutert. Im zweiten Abschnitt

(�3.2) werden die Peripherie-Blöcke der Simulationsumgebung, die zur Signalerzeugung

und -Analyse dienen, und die Bedienungsschnittstelle behandelt.

3.1 Modellierung des DSWs

Das Simulationsmodell besteht aus drei Blöcken:

1. Signal-Aufbereitung

2. Delta-Sigma-Modulator (DSM)

3. Dezimierer

Diese Blöcke sind, wie auf Abbildung 3.1 zu sehen ist, mit gelbem Hintergrund und

grünem Umriss farblich gekennzeichnet. Die folgenden Unterabschnitte erläutern die

Implementierung dieser Blöcke im Detail.

3.1.1 Signal-Aufbereitung

In diesem Block wird das angelegte Analogsignal abgetastet und so zu einem zeitdiskre-

ten Signal umgewandelt. Dabei durchläuft das Signal zuerst ein Antialiasing-Filter, um

ein bandbegrenztes Signal zu erzeugen, danach wird es durch ein Sample&Hold-Glied

abgetastet und anschließend erfolgt eine Umwandlung des Signaltyps mit Hilfe eines

28

3 Verfahren

Abb

ildu

ng

3.1:

Ges

amtm

odel

l

29

3 Verfahren

Convert-Blocks (siehe Abbildung 3.2). Der letzte Schritt ist notwendig, da sonst SIMU-

LINK das ausgegebene Signal als zeitkontinuierlich interpretiert und es nicht zulässt,

dieses Signal am Eingang eines zeitdisktreten Blocks anzulegen.

Abbildung 3.2: Signal-Aufbereitung

3.1.2 Delta-Sigma-Modulator

Der nächste Block, der Delta-Sigma-Modulator (DSM), ist der Kern des Modells. Er stellt

die allgemeine Topologie eines Modulators erster bis fünfter Ordnung dar. Die Topologie

lässt sich mit Hilfe einer Koeffizientenmatrix konfigurieren. Der Modulator besteht aus

fünf LF-Blöcken�2.2.4, einem Quantisierer und den unterschiedlich gewichteten Verbin-

dungen (siehe Abbildung 3.3). Die LF-Stufen beinhalten jeweils einen Vektor-Summiererund einen Integrator (siehe Abbildung 3.4). Um die allgemeine Topologie zu realisieren,

werden das Eingangssignal, die Ausgänge aller LF-Stufen und der Ausgang des Modu-

lators einem virtuellen Bus1 angeschlossen. Dieser führt dann alle Signale wieder zu

den einzelnen Stufen und implementiert so alle möglichen Vorwärts- und Rückkopp-

lungen zwischen den Stufen und dem Ein- und Ausgang des Blocks. Um algebraische

Schleifen zu vermeiden, müssen auf der Diagonale der Topologiematrix immer Nullen

stehen.

Für Testzwecke und zur besseren Veranschaulichung der Funktionsweise des DSMs wur-

de in einem zusätzlichen Block die konkrete Topologie eines DSMs fünfter Ordnung mit

1Es handelt sich hierbei um kein echtes Bussystem im schaltungstechnischen Sinne, sondern um einenSignalvektor, der mehrere Signale zusammenfassend durch einen Signalpfad darstellt. Damit lässt sichdas Modell übersichtlicher und mit einer logischeren Struktur gestalten.

30

3 Verfahren

Abbildung 3.3: Delta-Sigma-Modulator

Abbildung 3.4: LF-Stufe

CRFB-Architektur�2.2.5 realisiert. Dieser Block (DSM2) ist in der Umgebung als Alternati-

ve zum allgemeinen Modulator (DSM1) vorhanden und kann damit leicht ausgetauscht

werden. Die Implementierung des DSM2 ist in Abbildung 3.5 und die Realisierung der

Modulatorstufen in Abbildung 3.6 dargestellt. Die Implementierung des Blocks erfolgt

mit festen Zahlenwerten, sodass die Einstellung der Parameter in der Bedienungsschnitt-stelle und die Werte der Topologiematrix (�) keinen Einfluss auf das Verhalten dieses

Blocks haben.

Der Quantisierer ist als ein MATLAB-Funktionsblock realisiert, der eine Stufenzahl von

zwei bis acht zulässt und eine Integer-Zahl im Bereich −Q/2 .. Q/2 ausgibt. Im Falle eines

mehrstufigen Quantisierers (Q > 2 bzw. q > 1) müssen die Signalamplitude und Q sinn-

vollerweise aneinander angepasst werden. Da dies die Schaltung komplexer macht und

da solche Quantisierer, wegen ihrer nicht linearen Eigenschaften, bisher selten Verwen-

dung in den Schaltungen fanden, werden sie in dieser Arbeit nicht weiter ausgeführt.

Da jedoch eine steigende Tendenz bei der Implementierung eines solchen Quantisierers

zu beobachten ist, wird diese Möglichkeit im Modell vorgesehen. Die Skalierung der Si-

gnalamplitude bzw. Anpassung des Quantisierers an das Signal muss allerdings manuell

stattfinden.

31

3 Verfahren

Abbildung 3.5: DSM mit fester Topologie (DSM2)

Abbildung 3.6: LF-Stufe (DSM2)

3.1.3 Dezimierer

Die letzte Einheit des DSWs ist der Dezimierer. Er besteht aus drei hintereinander ge-

schalteten FIR-Dezimierungsfiltern (siehe Abbildung 3.7), die jeweils das Signal gleich-zeitig tiefpassfiltern und um eine feste Zahl unterabtasten. Die Dezimierung in meh-

reren Stufen ergibt ein besseres Ergebnis [16] als mit einem einzigen Filter. Die FIR-

Dezimierungsfilter liefern durch ihre effektive Implementierung auch einen größeren

SNR als eine Kaskade von einem Tiefpassfilter und einem Downsampler.

32

3 Verfahren

Abbildung 3.7: Dreistufiger FIR-Dezimierer

3.2 Peripherie-Blöcke

Nachdem das Modell des Wandlers implementiert ist, müssen Blöcke eingebaut werden,

die das Eingangssignal des DSWs erzeugen. Zudem werden noch Blöcke benötigt, die das

Ausgangssignal darstellen und den Signalverlauf und somit die Systemcharakteristik des

DSWs analysieren können. Außerdem soll es möglich sein, alle notwendigen Parameter

an einer Stelle einstellen zu können. Zu diesen Zwecken sind folgende peripheren Blöcke

eingebaut:

• Einstellbarer Signalgenerator

• Signalmonitoring

◦ Verlauf im Zeitbereich

◦ Verlauf im Frequenzbereich

◦ Abtastrate an verschiedene Stufen

• Systemanalyse

◦ Wandlerfehler im Zeitbereich

◦ Leistungsdichtespektrum des Bitstreams

◦ Übertragunsfunktion des Wandlers

• Bedienungsschnittstelle

◦ Signaleigenschaften

◦ Abtasteinstellungen

◦ Modulatorparameter

33

3 Verfahren

3.2.1 Einstellbarer Signalgenerator

Der Block zur Signalgenerierung ist in Abbildung 3.8 dargestellt. Dieser integriert eini-

ge häufig verwendeten Signalquellen aus der SIMULINK Standard Library und lässt sichleicht um weitere Quellen erweitern. Die Auswahl der Quellen erfolgt über einen Vektor-

Selektor(/-Schalter) (multiple switch), der je nach angelegtem Wert an seinem ersten

Eingang einen der nächsten Eingänge mit dem Ausgang verbindet. Der erste Eingang

wird über die Variable ���� von der Bedienungsschnittstelle gesteuert.

Abbildung 3.8: Signalgenerator

3.2.2 Signalmonitoring

Die Monitoringblöcke sind eingebaut, um den Signalverlauf während der Simulation

sowohl im Zeit- als auch Frequenzbereich anzuzeigen und sie dienen der graphischen

Analyse der Systemeigenschaften. Diese Blöcke werden in folgenden Unterabschnitten

detailiert besprochen.

34

3 Verfahren

Verlauf im Zeitbereich

Abbildung 3.9: Darstellung des zeitlichenSignalverlaufs

Der zeitliche Verlauf des Signals wird mit-

tels eines Scope-Blocks dargestellt. Die-ser Block simuliert einen Osziloskop mit

beliebig vielen Signaleingängen. Zwecks

Übersichlichkeit werden die Signale nicht

direkt mit dem Scope-Block verbunden,

sondern jeweils einem Goto-Block ange-

schlossen und der entsprechende From-

Block führt dann zum Scope (siehe Abbil-

dung 3.9).

Beim Öffnen des Scope-Blocks werden die

Signalverläufe, wie in Abbildung 3.10 dar-

gestellt, angezeigt.

Verlauf im Frequenzbereich

Abbildung 3.11: Verwendung von Spectrum-Scope-Blöcken

Die Darstellung des Signals im Frequenz-

bereich ist insbesondere bei den periodi-

schen Eingangssignalen und in dem Falle,

wenn ein Trägersignal angegeben ist hilf-

reich. So lassen sich z.B. die Güte der Anti-

Aliasing-Filter und des Dezimierers unter-

suchen. Zu diesem Zweck sind Spectrum-Scope-Blöcke eingebaut worden (siehe Ab-

bildung 3.11), die das Spektrum des Si-

gnals jeweils am Eingang, nach dem Mo-

dulator und am Ausgang anzeigen. Dies ist

für ein Sinussignal in Abbildung 3.12 dargestellt.

35

3 Verfahren

Abb

ildu

ng

3.10

:Ze

itli

cher

Sign

alve

rlau

f

36

3 Verfahren

Abbildung 3.12: Spektrum des diskreten Eingangssignals (links), des Bitstreams (mitte)und des digitalen Signals nach der Deziemierung (rechts)

Abtastrate in verschiedenen Stufen

Zur Kontrolle der Abtastrate des Wandlers, die aus mehreren Parametern ermittelt wird

und zur Anzeige der Abtastrate im Modulator wird die Abtastrate in drei Stufen in der

Schaltung abgegriffen: 1. nach dem Abtasten, 2. am Modulatorausgang und 3. nach

der Dezimierung (Am Wandlerausgang). Die Implementierung dieser Anzeigen ist in

Abbildung 3.13 dargestellt.

Abbildung 3.13: Überwachung der Abtastrate

3.2.3 Systemanalyse

Die Analyse des Systemverhaltens des DSWs erfolgt auf zwei Aspekte:

37

3 Verfahren

• der zeitliche Verlauf des Wandlungsfehlers

• Spektrumanalyse der Leistungsdichte des Bitstreams (Modulatorausgang)

Diese werden in den nachstehenden Unterabschnitten ausgeführt.

Wandlerfehler im Zeitbereich

Der durch den Wandlungsprozess erzeugter Fehler setzt sich zusammen aus mehreren

Faktoren zusammen[8]:

• Modulator-Auflösung

• Modulator-Genauigkeit

◦ Offset

◦ Verstärkungsfehler

◦ integrale Nichtlinearität

◦ differentielle Nichtlinearität

• Wandlungszeit

• Filterqualität

Abbildung 3.14: Ermittelung des Wandlerfeh-lers

Um diesen Gesamtfehler darzustellen

wird das digitale Signal am Ausgang

des DSW mittels eines Butterworth-

Tiefpassfilters wieder zum analogen Si-

gnal umgewandelt und mit dem ana-

logen Eingangssignal verglichen. Da-

bei muss das Systemgesamtlaufzeit

ebenfalls berücksichtigt werden. Da-

für wird beim Vergleichen das Ein-

gangssignal erst um die Gesamtlauf-

zeit verzögert, dann wird das Ausgangs-

signal davon abgezogen (siehe Abbil-

dung 3.14).

38

3 Verfahren

Die Ermittlung dieser Verzögerungszeit aufgrund der Simulationsparameter ist leider

nicht möglich gewesen, da SIMULINK zeitkontinuierliche Signale durch ein diskretes Si-

gnal mit einer variablen Abtastrate (=Integrationsschritt) darstellt, die viel höher als

die jeweilige Abtastrate der diskreten Signale ist, und erst zur Laufzeit bestimmt wird.

Diesen Wert kann man zwar in der Simulationseinstellung auf eine bestimmte Zahl fest-

legen, aber dann müsste man überall in der Simulation, wo sich die Abtastrate ändert,

Adapter-Blöcke einbauen, die die Änderung der Abtastrate explizit durchführen. Die-

se würde die Schaltung unnötig verkomplizieren und die Übersichtlichkeit reduzieren.

Aus diesem Grund wurde auf die Angabe eines festen Integrationsschritts verzichtet und

die Verzögerung wird mit Hilfe eines Slider-Gain-Blocks während der Simulation einge-

stellt. Abbildung 3.15 stellt einen Ausschnitt des Fehlerverlaufs für ein sinunsförmiges

Eingangssignal dar.

Abbildung 3.15: Zeitlicher Verlauf des Wandlerfehlers

39

3 Verfahren

Leistungsdichtespektrum des Bitstreams

Abbildung 3.16: Blöcke der Spektralanalyse

Eine sehr wichtige Größe bei der Beur-

teilung der Güte eines Wandlers, wie inAbschnitt 2.2.1 bereits diskutiert, ist das

Leistungsdichtespektrum. Auf diesem Dia-

gramm kann der SNR direkt abgelesen

und die Rauschverteilung beobachtet wer-

den. Diese Möglichkeit ist durch die Ver-

wendung eines PSD-Analyze-Blocks wie in

Abbildung 3.16 rechts dargestellt, imple-

mentiert worden. Das von diesem Block angezeigte Diagramm ist in Abbildung 3.17

rechts zu sehen.

(a) (b)

Abbildung 3.17: Anzeige für a) Übertragungsfunktion und b) Leistungsdichtespektrum

40

3 Verfahren

Übertragungsfunktion des Wandlers

Abbildung 3.18: Bedienungsschnitt-stelle

Ein weiteres Analyse-Werkzeug stellt das Spec-trum Analyzer-Block dar. An diesem Block wer-den die Ein- und Ausgänge eines Systems an-

geschlossen (siehe Abbildung 3.16 links) und

der Block stellt, wie der Name schon sagt,

die Übertragungsfunktion des Systems in Be-

trag und Phase dar. Dies ist für den DSW

durchgeführt worden (siehe Abbildung 3.17

links).

3.2.4 Bedienungsschnittstelle

Die Einstellung der Parameter für den DSW

und die Peripherie-Blöcken erfolgt in einer Be-

dienungsschnittstelle, die als ein zusätzliches

Modell namens ControlPanel realisiert worden

ist. Das Modell besteht aus einer Reihe von

Constant-Blöcken die jeweils mit einem To-Workspace-Block verbunden sind (siehe Abbil-

dung 3.18).

ControlPanel wird beim Öffnen des Hauptmo-

dells (DeltaSigmaSimulator) automatisch aufge-

rufen und jedesmal bei der Initialisierung der Si-

mulation in DSS mitsimuliert. Dadurch werden

die Parameter über die ToWorkspace-Blöcke in

MATLAB-Workspace abgelegt bevor die Hauptsi-

mulation beginnt. Diese Werte werden dann von

DSS als Parameter an unterschiedlichen Stellen

verwendet, oder es werden draus andere Para-

meter errechnet. So lassen sich die veränderli-

chen Parameter strukturiert darstellen und die

41

3 Verfahren

Einstellung wird dadurch vereinfacht; man braucht keine Kenntnisse über die Imple-

mentierung zu haben, um unterschiedliche Topologieeinstellungen vorzunehmen; und

es wird verhindert, dass unzulässige Einstellungen an Blöcken durchgeführt werden, die

zu falschen Ergebnissen führen.

In der Bedienungsschnittstelle lassen sich folgende Parameter einstellen:

Signalparameter Folgende Parameter betreffen das zu generierende Signal:

����: Signaltyp

���: Signalamplitude

���: Signalmittenfrequenz (= Signalfrequenz außer bei „chirp“)

����: Signalbandbreite – der Bereich, auf den das Signal begrenzt wird

��: Bandrandbreite – Der Abstand, der die als maximal definierte Frequenz fmax

bzw. f0 zur Grenzfrequenz fg einhalten muss, damit von einem bandbegrenz-

ten Signal ausgegangen werden kann

� �: Nyquist-Rate – Der Faktor, um den die Abtastrate höher sein soll als f0 +BM

�����: Trägerfrequenz2

Wandlerparamter Die nachfolgenden Parameter betreffen den DSW:

���: Überabtastrate = fmodf0+BM

�: Modulatorordnung

�: Modulatorstufenzahl3

����: Amplitude des Quantisierers

2Bei Verwendung eines Trägersignals müssen die Tiefpass-Filter durch entsprechende Bandpass-Filter er-setzt werden.

3Die zugehörige Funktion muss noch integriert werden

42

3 Verfahren

3.3 Delta Sigma Toolbox

Die Delta Sigma Toolbox stellt eine Reihe von MATLAB-Funktionen zur Verfügung, mit

denen für den DSW relevante Berechnungen und Simulationen durchgeführt werden

können. Folgende Funktionen aus dieser Toolbox wurden in der DSS-Umgebung ver-wendet:

� ����������: ermittelt die NTF für gegebene Modulatorordnung (N) und Überab-

tastrate (OSR). Optional kann eine Nullstellenoptimierung verlangt oder im Falle

eines BandpassDSWs die Mittenfrequenz angeben werden.

����������: nimmt eine Architektur und eine NTF als Argument an und berechnet

den Koeffizienten-Vektor [a, g, b, c].

������� ��: ermittelt das Ausgangssignal eines DSMs für ein gegebenes Eingangssi-gnal, NTF und Q.

Wie bereits erwähnt, erfolgt die Berechnung der Topologie-Matrix � in der m-function��������� �A.1, die, wie in Abbildung 3.19 dargestellt, bei der Initialisierung der Si-

mulation aufgerufen wird. In diesem Code wurden die Funktionen ���������� und

���������� benutzt, um für gegebene Modulatorparameter (SNR, Q, N und Archi-

tektur) die NTF und daraus den [a g b c]-Vektor zu ermitteln. Aus diesem Vektor

wird dann � gebildet. Dafür werden die Koeffizienten, die die gewichteten Verbindun-

gen darstellen, unter Berücksichtigung der Modulatorordnung an die richtigen Stellen

in � platziert und für die nicht-vorhandenen Verbindungen wird eine Null eingetra-gen.

Für den Vergleich zwischen der Modellierung des DSMs in DSS und der in der Delta

Sigma Toolbox wurde ein Beispiel aus [1] in modifizierter Form verwendet, das u.a. die

Funktion ������� �� implementiert. Dieser Vergleich wird im nächsten Kapitel aus-

führlich behandelt.

43

3 Verfahren

Abbildung 3.19: Simulations-Inititalisierungsroutine

44

4 Ergebnisse

In diesem Kapitel wird eine Gegenüberstellung der vorhandenen Herangehensweisen

zur Charakterisierung und zum simulationsunterstützten Entwurf von Delta-Sigma-A/D-

Wandlern durchgeführt und die Möglichkeit der Verwendung von DSS als Design-Tool

diskutiert.

4.1 Vergleich mit bestehenden Tools

Grundsätzlich gehören die A/D-Wandler schaltungstechnisch zu den Analogschaltungen

und werden auch mit den entsprechenden Tools simuliert, aber dies wird bei den DSW

aufgrund der Überabtastung sehr zeitaufwendig. Daher basieren alle existierenden Me-

thoden zur Simulation der DSW auf Verhaltensmodelle. Dafür bietet MATLAB mit seinen

effizienten Algorithmen, der übersichtlichen Skript-Programmiersprache und der graphi-

schen Modellierungsumgebung SIMULINK mit ihren vielen integrierten Systemblöcken,

Signalquellen und -senken (/-anzeigen) und erweiternden Toolboxes eine sehr nützliche

Umgebung. In dieser Umgebung sind die MATLAB-Toolbox (reines MATLAB-Skript-Paket)

Delta Sigma Toolbox von SCHREIER und die SIMULINK-Toolbox sdtoolbox2 von MALOBERTI

realisiert. Die Delta Sigma Toolbox bietet einen umfassenden Funktionssatz für die Simu-

lation und den Entwurf eines DSWs, geht dabei aber von einem linearisierten Idealm-

odell aus, sdtoolbox2 hingegen stellt eine Reihe an nicht-idealen SIMULINK-Blöcken zur

Verfügung, die aber an sich nicht als Design-Hilfe zu verwenden sind und zum Einbau

in andere Modelle gedacht sind.

Während die o.g. Toolboxes als Implementierungshilfe zu betrachten sind, bietet die

Software DAISY von FRANCKEN eine komplette Umgebung zur Charakterisierung und

zum Entwurf von DSW (allerdings nur für zeitkontinuierliche DSM). Diese Umgebung ist

45

4 Ergebnisse

jedoch in C realisiert und ist dadurch wesentlich schwerer zu erweitern oder mit anderen

Tools zu kombinieren. Außerdem ist sie nicht frei verfügbar und lässt sich dadurch nicht

ohne Weiteres in die Forschungsprojekte integrieren.

Die Entwurfsautomatisierungsverfahren für Multimode-DSW, die in [7] vorgestellt sind,

verwenden ein Programm namens MINLP[4], die über gute Ein- und Ausgabe-Schnittstellen

verfügt. Ein eigenständiges Design-Tool ist dafür jedoch noch nicht entwickelt.

Die Delta Sigma Toolbox stellt mit der Funktion ������� �� die Möglichkeit zur Ver-

fügung, das Ausgangssignal und alle anderen Signale in der Signalverarbeitungskette

eines DSM zu simulieren. Da die Toolbox dafür ein linearisiertes Quantisierer-Modell

heranzieht, bietet sich an, das Simulationsergebnis dieses Modells mit dem des DSS zu

vergleichen. Da der vorgestelle psd-Analyze-Block von SIMULINK jedoch keine Möglich-

keit bietet, zwei Signale zum Vergleich auf einem Diagramm darzustellen und außer-

dem eine logarithmische Darstellung nicht unterstützt, wurde ein Anwendungsbeispiel

für ������� �� aus [1] in modifizierter Form verwendet, das auch die Darstellung

des Leistungsdichtemodells implementiert. Dieser Vergleich ist in Abbildung 4.1 darge-

stellt

Abbildung 4.1: Vergleich zwischen Delta Sigma Toolbox und DSS

46

4 Ergebnisse

4.2 DeltaSigmaSimulator als Design Tool

Die Grundidee dieser Arbeit war, durch den DeltaSigmaSimulator die Basis für eine über-

sichtliche, effiziente und erweiterbare Simulationsumgebung zu schaffen, die vielseitige

Untersuchungen und Verhaltensanalysen für DSW zur Verfügung stellt und sich zu ei-nem wirkungsvollen Design-Tool entwickelt. DSS integriert in dem heutigen Implemen-

tierungsstand Funktionen von Delta Sigma Toolbox und erweitert diese auf das Konzept

der allgemeinen Topologie und auf nicht-lineare Modelle. Außerdem bietet er sich an,

nicht-ideale Blöcke aus sdtoolbox2 in einer flexiblen Umgebung zu verwenden. Schließ-

lich ist das Programm so konzipiert, dass es mit einer geeigneten Schnittstelle zu MINLP

erweitert werden kann und ist als Teil einer akademischen Forschungsprojekts frei zu-

gängig.

47

5 Ausblick

5.1 Berücksichtigung der Nicht-Idealitäten

Der unmittelbar nächste Schritt bei der Erweiterung des DeltaSigmaSimulators ist das

Ersetzen der bisher idealen Modelle durch die nicht-idealen Blöcke. Diese Blöcke sind in

der sdtoolbox2 von MALCHOVATI realisiert. Die Toolbox ist unter [17] zu beziehen. Eine

ausführliche Beschreibung der Blöcke und Anwendungsbeispiele sind ebenfalls vorhan-

den. Die theoretischen Grundlagen dazu sind in [11] dargelegt und in [2] auf Bandpass-

Modulatoren verallgemeinert. Eine weitere Implementierungsmöglichkeiten der Nicht-

Idealitäten wird in der Veröffentlichung [12] beschrieben, die ebenfalls auf [11] ba-

siert.

5.2 Automatische Topologie-Generierung

Für die optimale Wahl der Topologie wird eine Methode in [1] vorgestellt, die lediglich

auf einer Abschätzung der optimalen Parameter aufgrund der angegebenen Kennlini-

en beruht und sich nicht für die Design-Automatisierung eignet. [3] bietet hingegen

eine konkretere Methode mit komplettem Design Flow für ein Multimode-System mit

einer optimalen Topologie für jeden Modus, die Aspekte wie Maximierung der Gemein-

samkeiten zwischen den Modi und Minimierung der Komplexität, Empfindlichkeit und

Energieverbrauch berücksichtigt. Dabei wird den in [18] vorgestellte Algorithmus ver-

wendet, der wiederum auf dem MINLP-Algorithmus[4] basiert. Die Integration diesesAlgorithmus ist sicher ein weiterer wichtiger Schritt bei der Erweiterung von DeltaSig-

maSimulator.

48

5 Ausblick

5.3 Optimierung der Dezimierung

Verschieden Dezimierungsverfahren und ihre Optimierung werden in [16] ausführlich

behandelt. Es werden Implementierungen dargestellt, die den SNR weiter verbessern,

daher ist es sinnvoll, diese Ideen auch in den Erweiterungen in Betracht zu ziehen.

49

Anhang A MATLAB-Codes

A.1 ���������

Die m-function ��������� verwendet die Funktionen ���������� und ����������

aus der Delta Sigma Toolbox und errechnet die Topologie-Matrix für einen stabilen DSMfür gegebenes OSR und N .

1 �������� �!���������"�#���$

2 %&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&%

3 % ��� �������� �� ���������� �� ��������� ��� ���� %

4 % ����������� '�� �� � "���� ������ ����$ �� ��� %

5 % ���� �� � ()(&�����)# ���*��� ( & � ������ +��� ���� %

6 % �� ,���� ��, ��� ������ ���� ��� �� ��- %

7 % %

8 % ����&�����&����'�) "��������$ � ��.���� %

9 %&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&%

1011 �� �/(

12 �������"0���� �� ���� ������ ������ ��� '� 1!(0$

13 �����

14 ��

1516 2�#�#'#�3!����������" ����������"�#���#4$#0����0$

17 %'"56��$!7

18 ��� !������� "�#�#'#�#0����0$8

19 2��� ���)3!������� "��� $8

20 2�#�#'#�3!������ "��� #0����0$

2122 �!(&�8

2324 �(!����"4#($8

25 �("�946($!�8

26 ��!����"(#:$8

50

Anhang A MATLAB-Codes

27 ��"6#56:$!�(0;24 4 4 4 43-;� �"($8

2829 �<!����"4#<$8

30 �<":&5;��)"�=5$656<$!&�8

31 ��!����"(#:$8

32 ��"56��#46<$!�<0;24 4 4 43-;� �"<$8

3334 �!����">#:$8

35 �"4#�946:$!'8

36 �"56:#6$!��9��8

37 �">#�946($!&�8

3839 ��

A.2 ����� ��

In dem m-script ����� �� wird ein Beispiel aus der Delta Sigma Toolbox modifiziert,um das Ergebnis des DeltaSigmaModulators (in Workspace als vs abgespeichert) mitdem der Funktion ������� ��, die mit einem linearisierten Modell des Quantisierungs-rauschen rechnet, zu vergleichen:

1 %������� �������� ������ �� ������ ���

2 %& ���!?58

3 *��!*"@;��6A;��$08 %9 B��C ��� ����

4 ���7! ����������"�#���#4$8 %&D "(#���#4$

5 �E!������"*��$ %&D :<;���8

6 �'��!���8 %&D 448

7 �!4=5;��"5;��;�'��=�E;276�E&43$8 % ��� ����� �� � ���

8 2* ���4 ���5 3!������� ��"�#���7$8

9 C!����"�'" $=����" -F5$$8

10 ���!���7="C9"4&C$;���7$8

1112 % ������� +���+� ��� �� ��G

13 +!����"�E$8 %D �� ���"4#�E$ �� ����"�E$-F5

14 �'!?8 %D 4 ��� ����8 ( ��� H���F5

15 +4!����"+#4$8

16 +5!����"+#5$8

17 ��G!"+5=+4$F5

18 �!���"+-;*$="+4=5$8

51

Anhang A MATLAB-Codes

19 ���!���"+-;*��$="+4=5$8 %9

2021 % ������� ���

22 �����E'��!�'��92&"�'&4$=56"�'&4$=538

23 ��'��E'��!76�E="5;���$8

24 ����E'��!�����"��'��E'��#�����E'��$8

25 ��!'�" ��"�'"�"�����E'��94$$-F5$ = ��"�'"�"����E'��94$$-F5$ $

26 ����!'�" ��"�'"���"�����E'��94$$-F5$ = ��"�'"���"����E'��94$$-F5$ $

2728 % ��C� ����

29 ������8���8

30 ������)"246�E=53=�E#'*"�"56�E=594$$#0'0#0I���+���0#4$8

31 ��� ��8 %9

32 ������)"246�E=53=�E#'*"���"56�E=594$$#0�0#0I���+���0#4$8 %9

33 2� �3!��������"�#�'��#5#�'$8

34 2��� ���3!��������"���#�'��#5#�'$8

35 ����"�#�#0�0#0I���+���0#4-($

36 ����"���#���#0�0#0I���+���0#4-($ %9

37 �.!<=?;�*����"���#�)�"5�;��;�$$-F58

38 ����"�#'�"�.;��G$#0C&&0#0I���+���0#4$

39 ����"���#'�"�.;��G$#0C&&0#0I���+���0#4$ %9

40 �����������"24=�E 7-(3#23#23#2&5(7 73#47#5$8

52

Literaturverzeichnis

[1] R. Schreier und G. C. Temes, Understanding Delta-Sigma Data Converters. IEEEPress/Wiley Interscience, 2005. ix, 2, 16, 17, 20, 43, 46, 48

[2] S. Brigati, F. Francesconi, P. Malcovati, und F. Maloberti, “Modeling band-passsigma-delta modulators in SIMULINK R©”, Dep. of Electrical Engineering, Universityof Pavia und Micronova Sistemi S.r.l. und Dep. of Electronics, University of Pavia,tech. Ber., May 2000. ix, 48

[3] Y. Wei, H. Tang, und A. Doboli, “Systematic methodology for designing reconfi-gurable ΔΣ modulator topologies for multimode communication systems”, StonyBrook University und University of Minnesota Duluth, VÖ, 2006. ix, 48

[4] H. Tang, Y. Wei, und A. Doboli, “MINLP based topology synthesis for delta sigmamodulators optimized for signal path complexity, sensitivity and power consumpti-on”, Department of Electrical and Computer Engineering, Stony Brook University,tech. Ber. ix, 46, 48

[5] K. Francken und G. G. E. Gielen, “A high-level simulation and synthesis environ-ment for ΔΣ modulators”, IEEE Trans. Computer-Aided Design of integrated circuitsand systems, Vol. 22, Nr. 8, August 2003. 2

[6] M. Wannemacher, Das PFGA-Kochbuch, 1. Aufl. Bonn: International ThomsonPublishing, 1998. 4

[7] Y. Wei und A. Doboli, “Systematic developement of analog circuit structural ma-cromodels through behavioral model decoupling”, Stony Brook University: Depart-ment of Electrical and Computer Engineering, VÖ, 2006. 5, 46

[8] D. Johns und K. Martin, Analog Integrated Circuit Design. Wiley, 1997. 10, 11, 12,14, 16, 38

[9] M. Seifert, Analoge Schaltungen, 5. Aufl. Verlag Technik Berlin, 1996. 11, 12

[10] M. Liu, Demystifying Switched-Capacitor Circuits. New York: Newnes/Elsevier,2006, Kap. 8. 19, 20, 22

53

Literaturverzeichnis

[11] S. Brigati, F. Francesconi, P. Malcovati, und F. Maloberti (Hsgb.), Modeling Sigma-Delta Modulator Non-Idealities in SIMULINK R©, Dep. of Electronics, University ofPavia und Micronova Sistemi S.r.l. und STMicroelectronics, Car CommunicationBusiness Unit. IEEE International Symposium on Circuits and Systems, May 1999.20, 48

[12] C.-I. Lao, S.-P. U, und R. P. Martins, “Bandpass sigma-delta modulator SIMULINK R©non-idealities model with behavior simulation”, University of Macau: Faculty ofScience and Technology, VÖ, 2003. 20, 48

[13] J. J. O. Hidalgo, “System and circuit approches for the design of multi-mode sigma-delta modulators with application for multi-standard wireless receivers”, Disserta-tion, TU Darmstadt: FB ETIT(18)|I DT|FG MES, 2004. 23

[14] P. M. Aziz, H. V. Sorensen, und J. van der Spiegel, “An overview of sigma-deltaconverters”, IEEE Signal Processing Mag., 1996. 23

[15] F. Maloberti, P. Estrada, P. Malcovati, und A. Valero, “Behavioral modeling andsimulations of data converters”, Analog and Mixed Signal Center, Texas A&M Uni-versity und Dep. of Electrical Engineering, University of Pavia, VÖ, June 2000. 24

[16] S. Hein und A. Zakhor, Sigma Delta Modulators: Nonlinear Decoding Algorithms andStability Analysis. Kluwer Academic Publishers, 1993. 32, 49

[17] [Online]: http://www.mathworks.com/matlabcentral/fileexchange/loadFile.do?objectId=7589 48

[18] H. Tang und A. Doboli, “High-level synthesis of ΔΣ modulator topologies opti-mized for complexity, sensitivity, and power consumption”, IEEE Trans. Computer-Aided Design of Integrated Circuits, Vol. 25, Nr. 3, S. 597–607, März 2006. 48

54

Erklärung

Hiermit versichere ich, die vorliegende Diplomarbeit selbstständig und unter ausschließ-licher Verwendung der angegebenen Quellen und Hilfsmittel angefertigt zu haben. Die-se Arbeit hat in gleicher oder ähnlicher Form noch keiner Prüfungsbehörde vorgele-gen.

Darmstadt, 30. November 2006

Mehrdad Mirreza

55

Index

DSM, 19

SimulationSystemebene, 11

56