Schaltungsentwicklung vom Chip- zum Systementwurf · 3 / 47 AB TAMS Technische Aspekte Multimodaler...

47
1 / 47 AB TAMS Technische Aspekte Multimodaler Systeme Universität Hamburg Fachbereich Informatik Oberseminar TAMS: Schaltungsentwicklung – vom Chip- zum Systementwurf 11.12.02 Andreas Mäder [email protected] Oberseminar TAMS Oberseminar TAMS Schaltungsentwicklung Schaltungsentwicklung vom Chip- zum Systementwurf vom Chip- zum Systementwurf

Transcript of Schaltungsentwicklung vom Chip- zum Systementwurf · 3 / 47 AB TAMS Technische Aspekte Multimodaler...

1 / 47

AB TAMS

Technische Aspekte Multimodaler Systeme

Universität Hamburg

Fachbereich Informatik Oberseminar TAMS: Schaltungsentwicklung – vom Chip- zum Systementwurf

11.12.02

Andreas Mäder

[email protected]

Oberseminar TAMSOberseminar TAMS

SchaltungsentwicklungSchaltungsentwicklungvom Chip- zum Systementwurfvom Chip- zum Systementwurf

2 / 47

AB TAMS

Technische Aspekte Multimodaler Systeme

Universität Hamburg

Fachbereich Informatik Oberseminar TAMS: Schaltungsentwicklung – vom Chip- zum Systementwurf

11.12.02

Andreas Mäder

[email protected]

InhaltInhalt

● Motivation Moore's LawTechnologische EntwicklungApplikationen

● Chipentwurf GrundlagenEntwurfsstileEntwurfsmethodikHardwarebeschreibungssprachen VHDL

● Systementwurf BegriffeHigh-Level Synthese elliptische KurvenCoDesign Sensorintegration

● Möglichkeiten des AB TAMS

3 / 47

AB TAMS

Technische Aspekte Multimodaler Systeme

Universität Hamburg

Fachbereich Informatik Oberseminar TAMS: Schaltungsentwicklung – vom Chip- zum Systementwurf

11.12.02

Andreas Mäder

[email protected]

Moore's LawMoore's Law

● Die Zahl der Transistoren pro IC verdoppelt sich alle 2 Jahre

Gordon Moore 1965: „Cramming more components onto integrated circuits“

4 / 47

AB TAMS

Technische Aspekte Multimodaler Systeme

Universität Hamburg

Fachbereich Informatik Oberseminar TAMS: Schaltungsentwicklung – vom Chip- zum Systementwurf

11.12.02

Andreas Mäder

[email protected]

Moore's LawMoore's Law

● Faktoren

1. Technologische Entwicklung

2. Neue Anwendungsgebiete

3. Neue Methoden und Entwurfswerkzeuge● Beeinflussen sich Gegenseitig● Paradigmenwechsel im IC Entwurf

5 / 47

AB TAMS

Technische Aspekte Multimodaler Systeme

Universität Hamburg

Fachbereich Informatik Oberseminar TAMS: Schaltungsentwicklung – vom Chip- zum Systementwurf

11.12.02

Andreas Mäder

[email protected]

Technologische EntwicklungTechnologische Entwicklung

● Verkleinerung der Strukturbreiten

International TechnologyRoadmap for Semiconductors

6 / 47

AB TAMS

Technische Aspekte Multimodaler Systeme

Universität Hamburg

Fachbereich Informatik Oberseminar TAMS: Schaltungsentwicklung – vom Chip- zum Systementwurf

11.12.02

Andreas Mäder

[email protected]

Technologische EntwicklungTechnologische Entwicklung

7 / 47

AB TAMS

Technische Aspekte Multimodaler Systeme

Universität Hamburg

Fachbereich Informatik Oberseminar TAMS: Schaltungsentwicklung – vom Chip- zum Systementwurf

11.12.02

Andreas Mäder

[email protected]

ApplikationsfelderApplikationsfelder70 80 90 00

Gatter

Prozessoren, Speicher

Standardbausteine

ASICsGlue-Logic

Applikationsspezifische ICs

Signalverarbeitung

Eingebettete Systeme

Coprozessoren, Grafik● Computing

● Consumer Products

● Automotive

● Telekommunikation

● mobile Computing

8 / 47

AB TAMS

Technische Aspekte Multimodaler Systeme

Universität Hamburg

Fachbereich Informatik Oberseminar TAMS: Schaltungsentwicklung – vom Chip- zum Systementwurf

11.12.02

Andreas Mäder

[email protected]

ApplikationsfelderApplikationsfelder

● Integration neuer Technologien auf Standard-Silizium„System on a Chip“

9 / 47

AB TAMS

Technische Aspekte Multimodaler Systeme

Universität Hamburg

Fachbereich Informatik Oberseminar TAMS: Schaltungsentwicklung – vom Chip- zum Systementwurf

11.12.02

Andreas Mäder

[email protected]

ChipentwurfChipentwurf

● Abstraktion– Entwurfsebenen

● Sichtweise– Verhalten– Struktur

● Entwurf als iterativer Prozess– Alternativen– Versionen

10 / 47

AB TAMS

Technische Aspekte Multimodaler Systeme

Universität Hamburg

Fachbereich Informatik Oberseminar TAMS: Schaltungsentwicklung – vom Chip- zum Systementwurf

11.12.02

Andreas Mäder

[email protected]

D. Gajski, R. Kuhn 1983:„New VLSI Tools“Y-Diagramm

11 / 47

AB TAMS

Technische Aspekte Multimodaler Systeme

Universität Hamburg

Fachbereich Informatik Oberseminar TAMS: Schaltungsentwicklung – vom Chip- zum Systementwurf

11.12.02

Andreas Mäder

[email protected]

ChipentwurfChipentwurfHierarchischer Entwurf„top-down“

12 / 47

AB TAMS

Technische Aspekte Multimodaler Systeme

Universität Hamburg

Fachbereich Informatik Oberseminar TAMS: Schaltungsentwicklung – vom Chip- zum Systementwurf

11.12.02

Andreas Mäder

[email protected]

Full-Custom

13 / 47

AB TAMS

Technische Aspekte Multimodaler Systeme

Universität Hamburg

Fachbereich Informatik Oberseminar TAMS: Schaltungsentwicklung – vom Chip- zum Systementwurf

11.12.02

Andreas Mäder

[email protected]

Standardzell

Schematic Zell-Layout

● Gatterbibliotheken● fertige Zellen (Layout in FC-Qualität)● identische Zellhöhe

14 / 47

AB TAMS

Technische Aspekte Multimodaler Systeme

Universität Hamburg

Fachbereich Informatik Oberseminar TAMS: Schaltungsentwicklung – vom Chip- zum Systementwurf

11.12.02

Andreas Mäder

[email protected]

Standardzell

15 / 47

AB TAMS

Technische Aspekte Multimodaler Systeme

Universität Hamburg

Fachbereich Informatik Oberseminar TAMS: Schaltungsentwicklung – vom Chip- zum Systementwurf

11.12.02

Andreas Mäder

[email protected]

Gate-Array

● Gatterbibliotheken● vorgefertigte Transistoren● Funktion durch Metallisierung

16 / 47

AB TAMS

Technische Aspekte Multimodaler Systeme

Universität Hamburg

Fachbereich Informatik Oberseminar TAMS: Schaltungsentwicklung – vom Chip- zum Systementwurf

11.12.02

Andreas Mäder

[email protected]

ChipentwurfChipentwurf

Auswahlkriterien

Full-Custom +++ +++ +++ --- ---

Standardzell / Makrozell ++ ++ ++ -- --

Gate-Array + o + o o

- -- -- ++ +++

~105

~104

~103

Programmierbare Logik (FPGA, CPLD...)

<103

Perfor

mance

Fläch

e

Kosten

(IC)

Kosten

(fix)

Time t

o mar

ket

● Entwurfsstile

17 / 47

AB TAMS

Technische Aspekte Multimodaler Systeme

Universität Hamburg

Fachbereich Informatik Oberseminar TAMS: Schaltungsentwicklung – vom Chip- zum Systementwurf

11.12.02

Andreas Mäder

[email protected]

ChipentwurfChipentwurf

18 / 47

AB TAMS

Technische Aspekte Multimodaler Systeme

Universität Hamburg

Fachbereich Informatik Oberseminar TAMS: Schaltungsentwicklung – vom Chip- zum Systementwurf

11.12.02

Andreas Mäder

[email protected]

EntwurfsmethodikEntwurfsmethodik

● Änderung in der Entwurfsmethodik

– Struktur ⇒ Verhalten

– grafische Eingabe ⇒ Hardwarebeschreibungssprache

● Entwurf auf höheren Abstraktionsebenen● Automatische Transformationen bis zum Layout

– Synthese– Datenpfad- / Makrozellgenerierung– Zellsynthese– Platzierung & Verdrahtung

19 / 47

AB TAMS

Technische Aspekte Multimodaler Systeme

Universität Hamburg

Fachbereich Informatik Oberseminar TAMS: Schaltungsentwicklung – vom Chip- zum Systementwurf

11.12.02

Andreas Mäder

[email protected]

VHDLVHDL

● VHSIC Hardware Description LanguageVery High Speed Integrated Circuit

● Entwicklung– 1983 vom DoD initiiert– 1987 IEEE Standard– Überarbeitungen VHDL'93, VHDL'02– Erweiterungen Hardwaremodellierung/Zellbibliotheken

Hardwaresynthesemathematische Typen und Funktionenanaloge Modelle und Simulation

20 / 47

AB TAMS

Technische Aspekte Multimodaler Systeme

Universität Hamburg

Fachbereich Informatik Oberseminar TAMS: Schaltungsentwicklung – vom Chip- zum Systementwurf

11.12.02

Andreas Mäder

[email protected]

VHDL – sequenziellVHDL – sequenziell

● Sequenzielle Programmiersprache (Pascal)● Typen, Untertypen, Alias-Deklarationen

– skalar integer, real, character, boolean, bit, Aufzählung

– komplex line, string, bit_vector, Array, Record

– Datei- text, File

– Zeiger- Access

● Objekte constant, variable, file

● Operatoren and, or, nand, nor, xor, xnor =, /=, <, <=, >, >=sll, srl, sla, sra, rol, ror +, -, & +, -*, /, mod, rem **, abs, not

21 / 47

AB TAMS

Technische Aspekte Multimodaler Systeme

Universität Hamburg

Fachbereich Informatik Oberseminar TAMS: Schaltungsentwicklung – vom Chip- zum Systementwurf

11.12.02

Andreas Mäder

[email protected]

VHDL – sequenziellVHDL – sequenziell

● Anweisungen– Zuweisung :=, <=

– Verzweigung if, case

– Schleifen for, while, loop, exit, next

– Zusicherungen assert, report

– ...● Sequenzielle Umgebungen

– Prozesse process

– Unterprogramme procedure, function

(rekursiv)

22 / 47

AB TAMS

Technische Aspekte Multimodaler Systeme

Universität Hamburg

Fachbereich Informatik Oberseminar TAMS: Schaltungsentwicklung – vom Chip- zum Systementwurf

11.12.02

Andreas Mäder

[email protected]

...type list_T;type list_PT is access list_T;type list_T is record key : integer; link : list_PT; end record list_T;constant input_ID : string := "inFile.dat";file dataFile : text;variable dataLine : line;variable list_P, temp_P : list_PT := null;...procedure readData is variable keyVal : integer; variable rdFlag : boolean;begin file_open (dataFile, input_ID, read_mode); L1: while not endfile(dataFile) loop readline(dataFile, dataLine); L2: loop

read(dataLine, keyVal, rdFlag); if rdFLag then temp_P := new list_T'(keyVal, list_P); list_P := temp_P; else next L1; end if;

end loop L2; end loop L1; file_close(dataFile);end procedure readData;

23 / 47

AB TAMS

Technische Aspekte Multimodaler Systeme

Universität Hamburg

Fachbereich Informatik Oberseminar TAMS: Schaltungsentwicklung – vom Chip- zum Systementwurf

11.12.02

Andreas Mäder

[email protected]

VHDL – konkurrentVHDL – konkurrent

● Konkurrenter Code (ADA'83)Modelliert die gleichzeitige Aktivität der Hardwareelemente– Mehrere Prozesse– Prozeduraufrufe– Signalzuweisung, bedingt (if), selektiv (case) <=

– Zusicherung assert

● Synchronisationsmechanismus für Programmlauf / Simulation– Objekt signal

– Signale verbinden konkurrent arbeitende „Teile“ miteinander– Entsprechung in Hardware: Leitung

24 / 47

AB TAMS

Technische Aspekte Multimodaler Systeme

Universität Hamburg

Fachbereich Informatik Oberseminar TAMS: Schaltungsentwicklung – vom Chip- zum Systementwurf

11.12.02

Andreas Mäder

[email protected]

VHDL – SimulationVHDL – Simulation

● Semantik der Simulation im Sprachstandard definiert:„Simulationszyklus“, als virtuelles Verhalten von Programmen

● Kausalität

1. Signaländerung - „Event“

2. Aktivierung des konkurrenten Codes

3. Signalzuweisungen in der Abarbeitung

4. Erneute Signaländerung

Zyklusn

Zyklusn+1

aluO <= a+b when add else a-b;a <= reg(selA);b <= reg(selB);

aluO <= a+b when add else a-b;a <= reg(selA);b <= reg(selB);

aluO <= a+b when add else a-b;a <= reg(selA);b <= reg(selB);

aluO <= a+b when add else a-b;a <= reg(selA);b <= reg(selB);

aluO <= a+b when add else a-b;a <= reg(selA);b <= reg(selB);

25 / 47

AB TAMS

Technische Aspekte Multimodaler Systeme

Universität Hamburg

Fachbereich Informatik Oberseminar TAMS: Schaltungsentwicklung – vom Chip- zum Systementwurf

11.12.02

Andreas Mäder

[email protected]

VHDL – SimulationVHDL – Simulation

● Trennung der ZyklenFinden in einem Zyklus mehrere Events und/oder mehrere Codeaktivierungen pro Event statt, dann ist die Simulation unabhängig von der sequenziellen Abarbeitungsreihenfolge durch den Simulator!

● Zwischen den Zyklen kann Zeit vergehen:Verzögerungszeiten bei Signalzuweisungen x <= a+b after 2 ns;

● Zeitpunkt des nächsten Simulationszyklus

1. δ-Zyklus = Ereignis ohne Verzögerungszeit x <= a+b;

2. kleinster Wert aus Ereignisliste clk <= not clk after period/2;

26 / 47

AB TAMS

Technische Aspekte Multimodaler Systeme

Universität Hamburg

Fachbereich Informatik Oberseminar TAMS: Schaltungsentwicklung – vom Chip- zum Systementwurf

11.12.02

Andreas Mäder

[email protected]

VHDL – SimulationVHDL – Simulation

● Prozesse sind ständig aktiv ⇒ Endlosschleife

Typen: 1. Sensitiv zu Signalen 2. wait-Anweisungenbis Prozessende bis wait

alu_P: process(a, b, add)begin if add then x <= a+b; else x <= a-b; end if;end process alu_P;

producer_P: processbegin pReady <= false; wait until cReady; channel <= ... pReady <= true; wait until not cReady;end process producer_P;

consumer_P: processbegin cReady <= true; wait until pReady; ...

27 / 47

AB TAMS

Technische Aspekte Multimodaler Systeme

Universität Hamburg

Fachbereich Informatik Oberseminar TAMS: Schaltungsentwicklung – vom Chip- zum Systementwurf

11.12.02

Andreas Mäder

[email protected]

VHDL – SimulationVHDL – Simulation

● Signalzuweisungen im sequenziellen Kontext– sequenzieller Code wird nach Aktivierung bis zum

Prozessende/wait abgearbeitet– Signalzuweisungen werden (frühestens) im folgenden

Simulationszyklus wirksam

⇒ eigene Zuweisungen sind in dem Prozess nicht sichtbar

process ... ... if swap = '1' then b <= a; a <= b; end if;

process ... ... num <= 5; ... if num > 0 then ...

28 / 47

AB TAMS

Technische Aspekte Multimodaler Systeme

Universität Hamburg

Fachbereich Informatik Oberseminar TAMS: Schaltungsentwicklung – vom Chip- zum Systementwurf

11.12.02

Andreas Mäder

[email protected]

VHDL – EntwurfVHDL – Entwurf

● Entwurfsspezifische Eigenschaften– Strukturbeschreibungen / Hierarchie

Instanziierung von Teilentwürfen component configuration

– Schnittstellen entity

– Versionen und Alternativen architecture configuration

● zusätzliche Features– Bibliotheken library

– Design- und Code-Reuse package

29 / 47

AB TAMS

Technische Aspekte Multimodaler Systeme

Universität Hamburg

Fachbereich Informatik Oberseminar TAMS: Schaltungsentwicklung – vom Chip- zum Systementwurf

11.12.02

Andreas Mäder

[email protected]

VHDL – EntityVHDL – Entity

● Entity als zentrale Entwurfseinheit– Beschreibung der Schnittstelle „black-box“

– mit Parametern generic

– und Ein- / Ausgängen port

entity delayLine isgeneric ( bitWid : integer range 2 to 64 := 16; delLen : integer range 2 to 16 := 16); port ( clk : in std_logic; dataIn : in signed (bitWid-1 downto 0); dataOut : out signed (bitWid-1 downto 0));end entity delayLine;

30 / 47

AB TAMS

Technische Aspekte Multimodaler Systeme

Universität Hamburg

Fachbereich Informatik Oberseminar TAMS: Schaltungsentwicklung – vom Chip- zum Systementwurf

11.12.02

Andreas Mäder

[email protected]

VHDL – ArchitekturVHDL – Architektur

● Architektur als Implementation einer Entity

– mehrere Architekturen sind möglich ⇒ Alternativen

– lokale Deklarationen– konkurrente Anweisungen + Prozesse + Instanzenarchitecture behavior of delayLine is type delArray_T is array (1 to delLen) of signed (bitWid-1 downto 0); signal delArray : delArray_T;begin dataOut <= delArray(delLen); reg_P: process (clk) begin if rising_edge(clk) then delArray <= dataIn & delArray(1 to delLen-1); end if; end process reg_P;end architecture behavior;

31 / 47

AB TAMS

Technische Aspekte Multimodaler Systeme

Universität Hamburg

Fachbereich Informatik Oberseminar TAMS: Schaltungsentwicklung – vom Chip- zum Systementwurf

11.12.02

Andreas Mäder

[email protected]

VHDL – strukturellVHDL – strukturell

● Hierarchie– repräsentiert Abstraktion– zur funktionalen Gliederung

● Instanziierung von Komponenten

1. Komponentendeklaration und component

2. Instanziierung in der Architecture

3. Bindung an Paar: Entity+Architecture configuration

● Komponente als Zwischenstufe mit anderenBezeichnern und Schnittstellen (Ports und Generics)

32 / 47

AB TAMS

Technische Aspekte Multimodaler Systeme

Universität Hamburg

Fachbereich Informatik Oberseminar TAMS: Schaltungsentwicklung – vom Chip- zum Systementwurf

11.12.02

Andreas Mäder

[email protected]

VHDL – strukturellVHDL – strukturell

● Konfiguration zur Bindung: Komponente ⇔Entity+Architecture

– lokal, innerhalb der Architektur– als eigene Entwurfseinheit– „default“-Regeln: identische Bezeichner, Deklarationen

● Strukturierende Anweisungen– Gruppierung block

– bedingte und/oder wiederholte Ausführungkonkurrenten Codes oder Instanziierungen generate

33 / 47

AB TAMS

Technische Aspekte Multimodaler Systeme

Universität Hamburg

Fachbereich Informatik Oberseminar TAMS: Schaltungsentwicklung – vom Chip- zum Systementwurf

11.12.02

Andreas Mäder

[email protected]

architecture structure of delayLine is component reg is generic ( width : integer range 2 to 64); port ( clk : in std_logic; dIn : in signed(width-1 downto 0); dOut : out signed(width-1 downto 0)); end component reg; type delReg_T is array (0 to delLen) of signed(bitWid-1 downto 0); signal delReg : delReg_T;begin delReg(0) <= dataIn; dataOut <= delReg(delLen); gen_I: for i in 1 to delLen generate reg_I: reg generic map (width => bitWid) port map (clk, delReg(i-1), delReg(i)); end generate gen_I;end architecture structure;

configuration delayLineStr of delayLine isfor structure for gen_I for all: reg use entity work.reg(behavior); end for; end for;end for;end configuration delayLineStr;

34 / 47

AB TAMS

Technische Aspekte Multimodaler Systeme

Universität Hamburg

Fachbereich Informatik Oberseminar TAMS: Schaltungsentwicklung – vom Chip- zum Systementwurf

11.12.02

Andreas Mäder

[email protected]

VHDL – SyntheseVHDL – Synthese

● VHDL deckt Abstraktion von Algorithmen- bis zur Gatterebene ab– eine Sprache als Ein- und Ausgabe der Synthese

● Synthese - allgemein üblich: RT-Ebene– Abbildung von Register-Transfer Beschreibungen auf

Gatternetzlisten– erzeugt neue Architektur, Entity bleibt

● Simulation– System-/Testumgebung als VHDL-Verhaltensmodell– Simulation der Netzliste durch Austausch der Architektur

35 / 47

AB TAMS

Technische Aspekte Multimodaler Systeme

Universität Hamburg

Fachbereich Informatik Oberseminar TAMS: Schaltungsentwicklung – vom Chip- zum Systementwurf

11.12.02

Andreas Mäder

[email protected]

Produktivitätssteigerung und Moore's Law

36 / 47

AB TAMS

Technische Aspekte Multimodaler Systeme

Universität Hamburg

Fachbereich Informatik Oberseminar TAMS: Schaltungsentwicklung – vom Chip- zum Systementwurf

11.12.02

Andreas Mäder

[email protected]

Produktivitätssteigerung und Entwurfskosten

ITRS '01

37 / 47

AB TAMS

Technische Aspekte Multimodaler Systeme

Universität Hamburg

Fachbereich Informatik Oberseminar TAMS: Schaltungsentwicklung – vom Chip- zum Systementwurf

11.12.02

Andreas Mäder

[email protected]

SystementwurfSystementwurf

● Übergang zu anwendungsspezifischen Systemen– Systemstruktur Prozessorelemente, Speicher

– Schnittstellen Busse, Protokolle, Sensoren, Aktoren

– Software für mehrere Prozessoren, Controller...

– Hardware Standardkomponenten, ASICs,analoge Komponenten, MEMS...

● Stichworte– „Embedded Systems“– Hardware-Software CoDesign– High-Level Synthese

38 / 47

AB TAMS

Technische Aspekte Multimodaler Systeme

Universität Hamburg

Fachbereich Informatik Oberseminar TAMS: Schaltungsentwicklung – vom Chip- zum Systementwurf

11.12.02

Andreas Mäder

[email protected]

SystementwurfSystementwurf

● Einordnung in der Hierarchie● Synthesewerkzeuge

Register-Transfer undLogiksynthese

High-Level Synthese

Hardware-SoftwareCoSynthese ?

39 / 47

AB TAMS

Technische Aspekte Multimodaler Systeme

Universität Hamburg

Fachbereich Informatik Oberseminar TAMS: Schaltungsentwicklung – vom Chip- zum Systementwurf

11.12.02

Andreas Mäder

[email protected]

SystementwurfSystementwurf

● Entwurfsaufgabe– „Für das System soll unter den gegebenen Randbedingungen

die beste (günstigste) Lösung gefunden werden.“● Randbedingungen

– Performance Arbeitsgeschwindigkeit, Datendurchsatz, Antwortzeiten

– Größe– Leistungsaufnahme, Abwärme– Umgebungseigenschaften EMV, Temperatur, Beschleunigung

– ökonomische Vorgaben time-to-market, Kosten

40 / 47

AB TAMS

Technische Aspekte Multimodaler Systeme

Universität Hamburg

Fachbereich Informatik Oberseminar TAMS: Schaltungsentwicklung – vom Chip- zum Systementwurf

11.12.02

Andreas Mäder

[email protected]

SystementwurfSystementwurf

● Suchraum– Software auf Prozessor Nachteil: von Neumann Abarbeitung

– dedizierte Hardware Nachteil: Flexibilität, Kosten

● Regelfall: gemischte Lösung Hardware+Software● Beispiel

41 / 47

AB TAMS

Technische Aspekte Multimodaler Systeme

Universität Hamburg

Fachbereich Informatik Oberseminar TAMS: Schaltungsentwicklung – vom Chip- zum Systementwurf

11.12.02

Andreas Mäder

[email protected]

High-Level SyntheseHigh-Level Synthese

● Synopsys Behavioral Compiler● Eingabesprachen: „behavioral VHDL“, SystemC● Zielarchitektur: Controller + Datenpfad (+ Memory)● Arbeitsweise

– CDFG aus Code extrahieren– Operator-Timing– „Scheduling“ der Operationen multicycle, chaining, pipelining

– „Allokation“ der Ressourcen Operatoren + Register

– Datenpfad und FSM-Generierung

42 / 47

AB TAMS

Technische Aspekte Multimodaler Systeme

Universität Hamburg

Fachbereich Informatik Oberseminar TAMS: Schaltungsentwicklung – vom Chip- zum Systementwurf

11.12.02

Andreas Mäder

[email protected]

CoDesignCoDesign

● Anwendungsbeispiel zeigt das Potenzial HW+SW● Sensorintegration

– MEMS Beschleunigungssensor Analog Devices ADXL202

– 2-Achsen– pulsbreitenmoduliertes Signal

43 / 47

AB TAMS

Technische Aspekte Multimodaler Systeme

Universität Hamburg

Fachbereich Informatik Oberseminar TAMS: Schaltungsentwicklung – vom Chip- zum Systementwurf

11.12.02

Andreas Mäder

[email protected]

CoDesignCoDesign

● Softwarelösung– Abtastung mit Prozessor, timer-Interrupt,...

● Probleme– Genauigkeit T2: 1 ms, Auflösung: 14-bit ⇒ Abtastrate 16 Mhz

– Erweiterbarkeit Datenhandschuh mit 7x2-Sensoren

⇒ Hardware „überdimensionieren“ nur für Abtastung?● typisches Beispiel für „Datenreduktion“

– pro Sensor jede Millisekunde T1 (und T2), je 14-bit

44 / 47

AB TAMS

Technische Aspekte Multimodaler Systeme

Universität Hamburg

Fachbereich Informatik Oberseminar TAMS: Schaltungsentwicklung – vom Chip- zum Systementwurf

11.12.02

Andreas Mäder

[email protected]

CoDesignCoDesign

● Hardware und Prozessor gemeinsam in programmierbarer Logik– FPGA Apex 20K200EFC484: 8320LEs, 526000 Gates max.

– Altera SOPC Builder● 16- / 32-bit konfigurierbarer Prozessor● GnuPro Toolkit

● Design-Flow– System- und Schnittstellendesign– „customized“ NIOS-Prozessor– Hardwaresystem VHDL

Software C

45 / 47

AB TAMS

Technische Aspekte Multimodaler Systeme

Universität Hamburg

Fachbereich Informatik Oberseminar TAMS: Schaltungsentwicklung – vom Chip- zum Systementwurf

11.12.02

Andreas Mäder

[email protected]

CoDesignCoDesign

● Systemdesign– Hardware

● Sensoren abtasten● T1, T2 messen

– Software

● Normierung● Beschleunigung● Strecken ?

● Schnittstelle– Interruptgetrieben

– parallel IO

46 / 47

AB TAMS

Technische Aspekte Multimodaler Systeme

Universität Hamburg

Fachbereich Informatik Oberseminar TAMS: Schaltungsentwicklung – vom Chip- zum Systementwurf

11.12.02

Andreas Mäder

[email protected]

CoDesignCoDesign

● Hardware– timeCnt Abtastung eines Sensors (33MHz)

T1, T2 als 16-bit unsigned Wort

– timeArray Instaziierung der timeCntsImplementation der Protokollschnittstelle

● Software, prototypisch– iniISR je Sensor: Maximum und Minimum von T1, T2 bestimmen

– Normalisierung berechnet Skalierungsfaktor

– runISR Sensorwerte berechnen 16-bit signed

47 / 47

AB TAMS

Technische Aspekte Multimodaler Systeme

Universität Hamburg

Fachbereich Informatik Oberseminar TAMS: Schaltungsentwicklung – vom Chip- zum Systementwurf

11.12.02

Andreas Mäder

[email protected]

AB TAMSAB TAMS

● Eingabesprachen SystemC, VHDL, Verilog

● Synthese HLS-Code, RT-Level

● Simulation SystemCVHDL, Verilog, Verilog-AMS CoSim.HSpice, Spice, Spectre (analog)

● Standardzell Platzierung & Verdrahtung,...

● Full-Custom Layout, Extraktion...

● Prozesse analog+digital, Makrozellen (RAM, ROM...)CMos (>0.18 µm, 6 metall), BiCMos

● FPGAs Altera 3 NIOS Dev.-Kit, 1 DSP Dev.-KitXilinx