Analoge Verhaltensbeschreibung in Advanced … Baller Verilog-A/MS Folie 1 Studentischer...

28
Marius Baller Verilog-A/MS Folie 1 Studentischer Seminarvortrag zum Thema Studentischer Seminarvortrag zum Thema Analoge Verhaltensbeschreibung in Analoge Verhaltensbeschreibung in A A dvanced dvanced D D esign esign S S ystem von Agilent ystem von Agilent ADS2004A, mit Blick auf aktuelle ADS2004A, mit Blick auf aktuelle MOSFET-Modelle MOSFET-Modelle Februar 2005 präsentiert von Marius Baller betreuet von Dipl.-Ing. Iyad Kebaisy Institut für Elektronische Bauelemente und Schaltungstechnik Prof. B. Meinerzhagen Technische Universität Braunschweig

Transcript of Analoge Verhaltensbeschreibung in Advanced … Baller Verilog-A/MS Folie 1 Studentischer...

Page 1: Analoge Verhaltensbeschreibung in Advanced … Baller Verilog-A/MS Folie 1 Studentischer Seminarvortrag zum Thema Analoge Verhaltensbeschreibung in Advanced Design System von Agilent

Marius Baller Verilog-A/MS Folie 1

Studentischer Seminarvortrag zum ThemaStudentischer Seminarvortrag zum Thema

Analoge Verhaltensbeschreibung inAnaloge Verhaltensbeschreibung inAAdvanced dvanced DDesign esign SSystem von Agilent ystem von Agilent

ADS2004A, mit Blick auf aktuelle ADS2004A, mit Blick auf aktuelle MOSFET-ModelleMOSFET-Modelle

Februar 2005präsentiert von Marius Baller

betreuet von Dipl.-Ing. Iyad KebaisyInstitut für Elektronische Bauelemente und Schaltungstechnik

Prof. B. MeinerzhagenTechnische Universität Braunschweig

Page 2: Analoge Verhaltensbeschreibung in Advanced … Baller Verilog-A/MS Folie 1 Studentischer Seminarvortrag zum Thema Analoge Verhaltensbeschreibung in Advanced Design System von Agilent

Marius Baller Verilog-A/MS Folie 2

GliederungGliederung

MotivationMotivationHardware Description LanguagesHardware Description LanguagesVerilog-A Compiler/SimulatorVerilog-A Compiler/SimulatorEPFL-EKV MOSFET-ModellEPFL-EKV MOSFET-Modell„„Analoge“ VerhaltensbeschreibungAnaloge“ VerhaltensbeschreibungVerilog-A BefehleVerilog-A BefehleAgilent Agilent AAdvanced dvanced DDesign esign SSystemystem

Page 3: Analoge Verhaltensbeschreibung in Advanced … Baller Verilog-A/MS Folie 1 Studentischer Seminarvortrag zum Thema Analoge Verhaltensbeschreibung in Advanced Design System von Agilent

Marius Baller Verilog-A/MS Folie 3

MotivationMotivation

Positionierung des Compact Model Council:Positionierung des Compact Model Council: ((www.eigroup.org/CMCwww.eigroup.org/CMC Mitglieder u.a. IBM, Philips, Infinion, UMC, Cadence, Mentor, Synopsys) Mitglieder u.a. IBM, Philips, Infinion, UMC, Cadence, Mentor, Synopsys)

Unterstützung von Verilog-A als Modellierungssprache:Unterstützung von Verilog-A als Modellierungssprache:

„„HOW TO(AND HOW NOT TO)WRITE A COMPACT MODEL IN VERILOG-A“HOW TO(AND HOW NOT TO)WRITE A COMPACT MODEL IN VERILOG-A“((www.bmas-conf.org/2004/papers/bmas04-coram.pdfwww.bmas-conf.org/2004/papers/bmas04-coram.pdf))

CMC Goals for 2005:CMC Goals for 2005: Monitor Verilog-A for compact models developments Monitor Verilog-A for compact models developments

Studie vom IBSStudie vom IBS (International Business Strategies)(International Business Strategies)

Page 4: Analoge Verhaltensbeschreibung in Advanced … Baller Verilog-A/MS Folie 1 Studentischer Seminarvortrag zum Thema Analoge Verhaltensbeschreibung in Advanced Design System von Agilent

Marius Baller Verilog-A/MS Folie 4

MotivationMotivationAgilentHBT Model:

5000 lines of C-code2 month of work

500 lines of Verilog-A2 days of work

Vorteil vonVorteil von HDL für Analoges DesignHDL für Analoges Design

Page 5: Analoge Verhaltensbeschreibung in Advanced … Baller Verilog-A/MS Folie 1 Studentischer Seminarvortrag zum Thema Analoge Verhaltensbeschreibung in Advanced Design System von Agilent

Marius Baller Verilog-A/MS Folie 5

Hardware Description Hardware Description LanguagesLanguages

VHDL Verilog•Entwicklung ab 1980 durch Texas Instruments und IBM im Auftrag des US-Verteidigungsministeriums

•IEEE Standard 1076-1987

•IEEE Standard 1076-2002 (aktuelle Version)

•IEEE 1364-1995 Standard

•IEEE 1364-2001 aktueller Standard

Trend >>> Verilog (siehe TU-BS)

Page 6: Analoge Verhaltensbeschreibung in Advanced … Baller Verilog-A/MS Folie 1 Studentischer Seminarvortrag zum Thema Analoge Verhaltensbeschreibung in Advanced Design System von Agilent

Marius Baller Verilog-A/MS Folie 6

Hardware Description Hardware Description LanguagesLanguages

Erweiterungen der Erweiterungen der digitalendigitalen Beschreibung Beschreibung

•VHDL-AMS IEEE 1076.1 1999

•VERILOG-AMS geplant IEEE 1800 (mit SystemVerilog)

Keine Synthese für analoge Schaltungen möglich!

Page 7: Analoge Verhaltensbeschreibung in Advanced … Baller Verilog-A/MS Folie 1 Studentischer Seminarvortrag zum Thema Analoge Verhaltensbeschreibung in Advanced Design System von Agilent

Marius Baller Verilog-A/MS Folie 7

Hardware Description Hardware Description LanguagesLanguages

Verilog-AMS LRM, version 2.2

Accellera Verilog Analog Mixed-Signal Group

(www.eda.org/verilog-ams)

Page 8: Analoge Verhaltensbeschreibung in Advanced … Baller Verilog-A/MS Folie 1 Studentischer Seminarvortrag zum Thema Analoge Verhaltensbeschreibung in Advanced Design System von Agilent

Marius Baller Verilog-A/MS Folie 8

Verilog-A CompilerVerilog-A Compiler

Tiburon Design Automation (www.tiburon-da.com)Tiburon Design Automation (www.tiburon-da.com)

Page 9: Analoge Verhaltensbeschreibung in Advanced … Baller Verilog-A/MS Folie 1 Studentischer Seminarvortrag zum Thema Analoge Verhaltensbeschreibung in Advanced Design System von Agilent

Marius Baller Verilog-A/MS Folie 9

Performance (Jahr 2003)Performance (Jahr 2003)

Tiburon Design Automation (www.tiburon-da.com)Tiburon Design Automation (www.tiburon-da.com)

Performancevorteile von Verilog-A:

•Simulation von größeren Systemen (z.B. verschiedene Abstraktionsgrade, unterschiedliche Genauigkeiten)

•Optimierung durch Compiler (z.B. für Mehrprozessorsysteme, Analyseverfahren)

Page 10: Analoge Verhaltensbeschreibung in Advanced … Baller Verilog-A/MS Folie 1 Studentischer Seminarvortrag zum Thema Analoge Verhaltensbeschreibung in Advanced Design System von Agilent

Marius Baller Verilog-A/MS Folie 10

Implementierte ModelleImplementierte Modelle

•BSIM3

•BSIM4

•Philips MOS 9

•Philips MOS 11

•HSIM

•Philips Mextram 504

•Spice Gummel-Poon

Implementiert von Tiburon:

•EKV

•VBIC

Verfügbar in Verilog-A:

•EKV

Page 11: Analoge Verhaltensbeschreibung in Advanced … Baller Verilog-A/MS Folie 1 Studentischer Seminarvortrag zum Thema Analoge Verhaltensbeschreibung in Advanced Design System von Agilent

Marius Baller Verilog-A/MS Folie 11

Identische ImplementierungIdentische Implementierung

Page 12: Analoge Verhaltensbeschreibung in Advanced … Baller Verilog-A/MS Folie 1 Studentischer Seminarvortrag zum Thema Analoge Verhaltensbeschreibung in Advanced Design System von Agilent

Marius Baller Verilog-A/MS Folie 12

Detailed Comparison of the SP2001, EKV, and BSIM3

SP2001 is the nexteasiest to extractTakes 2 days.

about 65 DC parameters; 33 were used here

most physically based model

SP2001SP2001

BSIM3 is the most difficult to extract (largest number of parameters, most correlated). Takes about 5 days.

EKV is easiest of the three to extract (fewest parameters, least correlated). Takes a few hours.

over 400 DC parameters, 95 used here

22 DC parameters, all of which were used here

least physicalEKV is less physical than SP2001, but more so than BSIM3

BSIM3BSIM3EKVEKV

(www.nsti.org/Nanotech2002/WCM2002/WCM2002-Bendix.pdf)

Page 13: Analoge Verhaltensbeschreibung in Advanced … Baller Verilog-A/MS Folie 1 Studentischer Seminarvortrag zum Thema Analoge Verhaltensbeschreibung in Advanced Design System von Agilent

Marius Baller Verilog-A/MS Folie 13

ParameterParameter

Page 14: Analoge Verhaltensbeschreibung in Advanced … Baller Verilog-A/MS Folie 1 Studentischer Seminarvortrag zum Thema Analoge Verhaltensbeschreibung in Advanced Design System von Agilent

Marius Baller Verilog-A/MS Folie 14

Results shown are for LSI’s 0.13U technologyResults shown are for LSI’s 0.13U technology

(www.nsti.org/Nanotech2002/WCM2002/WCM2002-Bendix.pdf)

Page 15: Analoge Verhaltensbeschreibung in Advanced … Baller Verilog-A/MS Folie 1 Studentischer Seminarvortrag zum Thema Analoge Verhaltensbeschreibung in Advanced Design System von Agilent

Marius Baller Verilog-A/MS Folie 15

Detailed ComparisonDetailed Comparison

LSI Logic:LSI Logic:The SP and EKV models are far easier to use in fitting Vth vs. L The SP and EKV models are far easier to use in fitting Vth vs. L and are more accurate than BSIM3 in this regard.and are more accurate than BSIM3 in this regard.And the SP and And the SP and EKV models are far superior to BSIM3EKV models are far superior to BSIM3 in in extending a current model to future technologies, both in ease of extending a current model to future technologies, both in ease of use and inaccuracy.use and inaccuracy.

InfinionInfinion, CMC Meeting2003(, CMC Meeting2003(BSIM4 Model Evaluation):Summary and Conclusion:Summary and Conclusion:BSIM4 mobility model specific problemsBSIM4 mobility model specific problems (insufficient modeled (insufficient modeledphysical effects, like coulomb scattering)physical effects, like coulomb scattering)(…)(…)Thus, a new mobility model is required!Thus, a new mobility model is required!

Page 16: Analoge Verhaltensbeschreibung in Advanced … Baller Verilog-A/MS Folie 1 Studentischer Seminarvortrag zum Thema Analoge Verhaltensbeschreibung in Advanced Design System von Agilent

Marius Baller Verilog-A/MS Folie 16

Next Generation Compact ModelNext Generation Compact Model

BSIM5BSIM5 (Professor Ali Niknejad): (Professor Ali Niknejad):((http://www.eigroup.org/cmc/next_gen_cmos/bsim5latest.pdfhttp://www.eigroup.org/cmc/next_gen_cmos/bsim5latest.pdf))

•Rooted in as much physics as possibleRooted in as much physics as possible

•Fully symmetric and smooth with no non-physical behaviorFully symmetric and smooth with no non-physical behavior

•Small parameter count with built-in flexibility for parameter extractionSmall parameter count with built-in flexibility for parameter extraction

Page 17: Analoge Verhaltensbeschreibung in Advanced … Baller Verilog-A/MS Folie 1 Studentischer Seminarvortrag zum Thema Analoge Verhaltensbeschreibung in Advanced Design System von Agilent

Marius Baller Verilog-A/MS Folie 17

•Implementiert in Implementiert in Verilog-AVerilog-A

•„„Public Domain“Public Domain“

•Physikalisches ModellPhysikalisches Modell(surface potential based/Inversion charge linearization)(surface potential based/Inversion charge linearization)

•Bulk als ReferenzBulk als Referenz

•SymmetrischSymmetrisch

•Optimiert für analoge SchaltkreissimulationOptimiert für analoge Schaltkreissimulation

•EPFL Switzerland, NTUA Greece,EPFL Switzerland, NTUA Greece,

ENSPS FranceENSPS France

•Simulatoren:Simulatoren: ADS, AMI-Spice, Antrim-A/MS, APLAC, ELDO, ICCAP spice, ADS, AMI-Spice, Antrim-A/MS, APLAC, ELDO, ICCAP spice, IntuSoft, HSIM, LTspice/SwitcherCAD III, Star-Hspice, MacSpice, Micro-Cap, IntuSoft, HSIM, LTspice/SwitcherCAD III, Star-Hspice, MacSpice, Micro-Cap, MINIMOS-NT, MI-SUGAR, NanoSpice, Nexxim, NG-Spice, PSPICE[+], SABER, MINIMOS-NT, MI-SUGAR, NanoSpice, Nexxim, NG-Spice, PSPICE[+], SABER, SANCAD, SIMetrix, SmartSpice, SMASH, Spectre, SpectreRF, SPICE3, Spice-Opus, SANCAD, SIMetrix, SmartSpice, SMASH, Spectre, SpectreRF, SPICE3, Spice-Opus, Synopsys, TopSPICE, TRANZ-TRAN, T-Spice, WinSpiceSynopsys, TopSPICE, TRANZ-TRAN, T-Spice, WinSpice •Parameter extraction systems:Parameter extraction systems: Aurora, IC-CAP, UTMOST Aurora, IC-CAP, UTMOST

EKV-ModellEKV-Modell

Page 18: Analoge Verhaltensbeschreibung in Advanced … Baller Verilog-A/MS Folie 1 Studentischer Seminarvortrag zum Thema Analoge Verhaltensbeschreibung in Advanced Design System von Agilent

Marius Baller Verilog-A/MS Folie 18

„„Analoge“ VerhaltensbeschreibungAnaloge“ Verhaltensbeschreibung

Potential

Fluss

Knoten

Zweiggrößen

branch quantities: flow, potential

flow: Flussgröße zwischen zwei konservativen Knoten

potential: Potentialdifferenz zwischen zwei konservativen Knoten

conservative systems: Kirchhoff's Flow/Potential Law (KFL/KPL)

Page 19: Analoge Verhaltensbeschreibung in Advanced … Baller Verilog-A/MS Folie 1 Studentischer Seminarvortrag zum Thema Analoge Verhaltensbeschreibung in Advanced Design System von Agilent

Marius Baller Verilog-A/MS Folie 19

„„Analoge“ VerhaltensbeschreibungAnaloge“ Verhaltensbeschreibung

Page 20: Analoge Verhaltensbeschreibung in Advanced … Baller Verilog-A/MS Folie 1 Studentischer Seminarvortrag zum Thema Analoge Verhaltensbeschreibung in Advanced Design System von Agilent

Marius Baller Verilog-A/MS Folie 20

„„Analoge“ VerhaltensbeschreibungAnaloge“ Verhaltensbeschreibung

Strukturbeschreibung: Verhaltensbeschreibung:

´include „disciplines.vams“

module rlc (a,b);

parameter real R=200;

parameter real C=100;

parameter real L=5;

inout a,b;

electrical a,b;

analog begin

I(a,b)<+ V(a,b) / R;

I(a,b)<+ C * ddt V(a,b));

I(a,b)<+ idt (V(a,b)) / L;

end

endmodule

´include „disciplines.vams“

module rlc (a,b);

inout a,b;

electrical a,b;

resistor #(200) R1 (a,b); capacitor #(100) C1 (a,b);inductor #(5) L1 (a,b);

endmodule

Page 21: Analoge Verhaltensbeschreibung in Advanced … Baller Verilog-A/MS Folie 1 Studentischer Seminarvortrag zum Thema Analoge Verhaltensbeschreibung in Advanced Design System von Agilent

Marius Baller Verilog-A/MS Folie 21

Verilog-A Befehle (1)Verilog-A Befehle (1)

if (Noise) begin real S_flicker, S_thermal; S_thermal = 4 * `P_K * T * Gn; S_flicker = KF * gm * gm / (Weff * NS * Leff * COX); I(d, s) <+ white_noise(S_thermal, "thermal") + flicker_noise(S_flicker, AF, "flicker"); end

Rauschmodellierung im EKV-Modell:

Page 22: Analoge Verhaltensbeschreibung in Advanced … Baller Verilog-A/MS Folie 1 Studentischer Seminarvortrag zum Thema Analoge Verhaltensbeschreibung in Advanced Design System von Agilent

Marius Baller Verilog-A/MS Folie 22

Verilog-A Befehle (2)Verilog-A Befehle (2)

WLCox = Weff * Leff * COX;WLCox = Weff * Leff * COX;QB = WLCox * (-0.5*GAMMAprime*sqrt_PHI_VP_2 + VGprime - VGstar) -QB = WLCox * (-0.5*GAMMAprime*sqrt_PHI_VP_2 + VGprime - VGstar) - QI*GAMMAprime/(GAMMAprime+sqrt_PHI_VP2_2); QI*GAMMAprime/(GAMMAprime+sqrt_PHI_VP2_2);I(sb) <+ ddt (QB)I(sb) <+ ddt (QB);;

Beispiele aus dem EKV-Quelltext:

Page 23: Analoge Verhaltensbeschreibung in Advanced … Baller Verilog-A/MS Folie 1 Studentischer Seminarvortrag zum Thema Analoge Verhaltensbeschreibung in Advanced Design System von Agilent

Marius Baller Verilog-A/MS Folie 23

EKV-TestEKV-TestParameter für 0.5μm Prozess

Page 24: Analoge Verhaltensbeschreibung in Advanced … Baller Verilog-A/MS Folie 1 Studentischer Seminarvortrag zum Thema Analoge Verhaltensbeschreibung in Advanced Design System von Agilent

Marius Baller Verilog-A/MS Folie 24

ADS und Verilog-AADS und Verilog-A

Page 25: Analoge Verhaltensbeschreibung in Advanced … Baller Verilog-A/MS Folie 1 Studentischer Seminarvortrag zum Thema Analoge Verhaltensbeschreibung in Advanced Design System von Agilent

Marius Baller Verilog-A/MS Folie 25

TransientenanalyseTransientenanalyse

Page 26: Analoge Verhaltensbeschreibung in Advanced … Baller Verilog-A/MS Folie 1 Studentischer Seminarvortrag zum Thema Analoge Verhaltensbeschreibung in Advanced Design System von Agilent

Marius Baller Verilog-A/MS Folie 26

ZusammenfassungZusammenfassung•Gesamtsystem kann als ganzes Simuliert werden

•Analog/ Digital/ nichtelektrische Umgebung

•Verschiedene Abstraktionslevel

•Technologie unabhängig

•Bestens geeignet für Top-Down-Design

•Breite Unterstützung in der Industrie

•Gute Chancen als Standard für die Zukunft

Page 27: Analoge Verhaltensbeschreibung in Advanced … Baller Verilog-A/MS Folie 1 Studentischer Seminarvortrag zum Thema Analoge Verhaltensbeschreibung in Advanced Design System von Agilent

Marius Baller Verilog-A/MS Folie 27

LiteraturverzeichnisLiteraturverzeichnis

K. Kundert und O.Zinke, The Designer´s Guide to Verilog AMS, K. Kundert und O.Zinke, The Designer´s Guide to Verilog AMS, Kluwer Academic Publishers, 2004Kluwer Academic Publishers, 2004J. Mades, Strukturelle Konsistenz und Regularisierung von J. Mades, Strukturelle Konsistenz und Regularisierung von VHDL-AMS-Modellen, Shaker Verlag, 2003VHDL-AMS-Modellen, Shaker Verlag, 2003D. FitzPatrick und I. Miller, Analog Behavioral Modeling with D. FitzPatrick und I. Miller, Analog Behavioral Modeling with the VERILOG-A Language, Kluwer Academic Publishers, 1998the VERILOG-A Language, Kluwer Academic Publishers, 1998http://www.eda.org/verilog-ams/htmlpages/public-docs/lrm/2.2/AMS-LRM-2-2.pdfhttp://www.eda.org/verilog-ams/htmlpages/public-docs/lrm/2.2/AMS-LRM-2-2.pdf http://www.eda.org/verilog-ams/htmlpages/public-docs/Verilog-A_Compact_Model_Extensions.pdfhttp://www.eda.org/verilog-ams/htmlpages/public-docs/Verilog-A_Compact_Model_Extensions.pdfhttp://legwww.epfl.ch/ekv/pdf/ekv_v262.pdfhttp://legwww.epfl.ch/ekv/pdf/ekv_v262.pdfhttp://www.nsti.org/Nanotech2002/WCM2002/WCM2002-CEnz.pdfhttp://www.nsti.org/Nanotech2002/WCM2002/WCM2002-CEnz.pdf

Page 28: Analoge Verhaltensbeschreibung in Advanced … Baller Verilog-A/MS Folie 1 Studentischer Seminarvortrag zum Thema Analoge Verhaltensbeschreibung in Advanced Design System von Agilent

Marius Baller Verilog-A/MS Folie 28

Vielen Dank für Ihr Interesse!Vielen Dank für Ihr Interesse!