Kapitel 5 Elektrische Charakterisierung -...

108
Kapitel 5 Elektrische Charakterisierung 123

Transcript of Kapitel 5 Elektrische Charakterisierung -...

Page 1: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

Kapitel 5Elektrische Charakterisierung

123

Page 2: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators
Page 3: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

Mit dem im Kapitel 4 vorgestellten Gate-Last-Prozesskonzept steht für diese Arbeit eine univer-selle Plattform zur Untersuchung und Evaluierung von MOS-Bauelementen mit verschiedenenHigh-k-Dielektrika und Metall-Elektroden zur Verfügung. Durch die materialschonende Herstel-lung der Bauelemente können prozessbedingte Materialdegradationen des Gate-Stapels ausge-schlossen und Aussagen über initiale Materialeigenschaften gewonnen werden. Das folgendeKapitel beschreibt und diskutiert die Ergebnisse der elektrischen Charakterisierung verschiede-ner Gate-Stapel mit amorphen und kristallinen High-k-Dielektrika und Metall-Elektroden. DieHigh-k-Dielektrika und Metall-Elektroden wurden zum Teil bei Projektpartnern im Rahmen vonForschungskooperationen abgeschieden bzw. aufgewachsen.

5.1 Messaufbau

In Abbildung 5.1 ist der im Rahmen dieser Arbeit aufgebaute und für die Charakterisierungder hergestellten MOS-Bauelemente verwendete Messaufbau für Strom-Spannungs-, Kapazität-Spannungs- sowie Charge-Pumping-Messungen gezeigt.

����������

������ �

��������������������������

(a)Messaufbau mit Halbleitercharakterisierungssystemund Messstation.

(b)Messspitzen kontaktieren einen MOS-Transistor aufeinem prozessierten Substrat.

Abbildung 5.1: Messaufbau zur elektrischen Charakterisierung von MOS-Bauelementen.

Der Messaufbau besteht aus einer halbautomatischen Rucker&Kolls RK680A-Messtation und ei-nem einem Keithley SCS-4200-Halbleitercharakterisierungssystem. Der Messtisch vom Typ Wa-fertherm SP53A ist im Temperaturbereich von T=-20−200 °C regelbar. Das Halbleitercharakte-risierungssystem SCS-4200 verfügt über folgende, für die Charakterisierung relevante Baugrup-pen:

• Sechs Messkanäle mit jeweils einer gesteuerten Strom-/ Spannungsquelle und einem Strom-/Spannungs-Messgerät. Vier Kanäle sind mit Vorverstärkern nahe der Messspitze ausgestat-tet, um das Signal-Rausch-Verhältnis zu verbessern,

• EinPulsed-IV-Modul mit Pulsgenerator und 2-Kanal-Oszilloskop zur Durchführung von ge-pulsten Strom-Spannungs-Messungen sowie

• Ein CVU-Modul zur Messung der Kapazität-Spannungs-Charakteristik in einem Messfre-quenz von f =1 Hz−10 MHz mit hoher Leckstromtoleranz.

Kapitel 5. Elektrische Charakterisierung 125

Page 4: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

Der Aufbau des Messplatzes, die Entwicklung einer Ansteuerung der halbautomatischen Messsta-tion, die automatisierten Messroutinen und die Anpassung der Koaxial- und Triaxial-Verkabelungan höherfrequente Anwendung wurden im Rahmen dieser Arbeit durchgeführt. Ältere Messun-gen, beispielsweise die in Kapitel 5.7.6 gezeigten Referenzdaten zur Bauelementalterung, wur-den mit einem HP 4145B-Halbleitercharakterisierungssystem für die Bestimmung von Strom-Spannungs-Charakteristiken und einem Keithley System 82 zur Messung der Kapazität-Span-nungs-Charakteristik durchgeführt. Nanoskalige topographische Messungen wurden mit einemRasterkraftmikroskop vom Typ Veeco Dimension 3100 mit Nanoscope IV-Controller realisiert, dasmit einem TUNA-Modul und Pt-beschichteten Messspitzen vom Typ Budget Sensors ElectriCont-Gzur Untersuchung des Strom-Spannungs-Verhalten erweitert wurde.

Die angewendeten Messmethoden und die Verfahren der Parameterextraktion wurden ausführ-lich im Kapitel 2 vorgestellt.

5.2 Bauelemente mit HfO2-Gatedielektrika aus einem PVD-Prozess

Im Rahmen einer Forschungskooperation mit dem Tyndall National Institute in Cork, Irland,wurde MOS-Kondensatoren und -Transistoren in Gate-Last-Technik gemäß Tabelle 5.1 herge-stellt. Als High-k-Dielektrikum wurde amorphes Hafniumoxid (HfO2) in einem Aufdampfpro-zess (PVD) auf vorstrukturierten Substraten abgeschieden.

Bauelementtyp Substrat Dielektrikum Dicke dHfO2Temperatur Tdep Elektrode

MOS-Kondensator p-Si HfO2 2.5 nm 150 °C CrMOS-Kondensator p-Si HfO2 2.5 nm 280 °C CrMOS-Kondensator p-Si HfO2 2.5 nm 150 °C W-TiMOS-Kondensator p-Si HfO2 2.5 nm 280 °C W-TiMOS-Transistor p-Si HfO2 3.0 nm 280 °C W-TiMOS-Transistor p-Si HfO2 6.0 nm 280 °C W-Ti

Tabelle 5.1: Bauelemente mit aufgedampften HfO2-Dielektrikum

Die für dieses Teilprojekt verwendete Aufdampfanlage vom Typ Leybold Lab 600 verfügt überzwei Elektronenquellen mit jeweils vier Materialtiegeln. Die Abscheiderate beträgt wurde beieinem Kammerinnendruck von pdep=1·10−5 mbar und einer Substrattemperatur Tdep=150 °Cund Tdep=280 °C mit mit Rdep=0,01 nm/s bestimmt. Der Schmelzpunkt von HfO2 liegt beiT=2758 °C. Das Material sublimiert aufgrund des geringen Kammerinnendrucks beim Verdamp-fen und geht somit direkt vom festen in den gasförmigen Zustand über.

5.2.1 Physikalische und elektrische Schichteigenschaften

Nach ROBERTSON weisen amorphe HfO2-Schichten eine relative dielektrische Konstante vonεr=25, eine Bandlücke von Eg=5,8 eV sowie einen Bandabstand zur Leitungsbandkante vonΔEC=1,5 eV auf [23]. Experimentelle Ergebnisse zeigen, dass die abgeschiedenen amorphenHfO2-Schichten keine chemische Stabilität auf einem Si-Substrat zeigen. Wie aus Abbildung 5.2

126 5.2 Bauelemente mit HfO2-Gatedielektrika aus einem PVD-Prozess

Page 5: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

hervorgeht, bildet sich bereits während der Abscheidung der HfO2-Schicht eine Zwischenschichtzwischen Substratoberfläche und dem Dielektrikum aus.

���������

�������

��� �������������������

�����������

Abbildung 5.2: Hochauflösende transmissionselektronenmikroskopische Aufnahme einer auf einem Si-

Substrat abgeschiedenen HfO2-Schicht. Deutlich ist die Bildung einer Zwischenschicht auf

dem Si-Substrat zu erkennen.

Aufnahme: Tyndall National Institute, Cork, Irland

Nach DAMLENCOURT ET AL. handelt es sich um eine SiOx -Schicht unterhalb einer siliziumreichenHafniumsilikat-Schicht [194]. XU ET AL. haben dazu zwei Mechanismen der Zwischenschicht-bildung benannt [195]. Bei wachsender Schichtdicke des HfO2-Films diffundieren Sauerstof-fatome aus dem oberen Teil der HfO2-Schicht zur Si-Oberfläche und bilden dort eine SiOx -Zwischenschicht aus. Als weitere Sauerstoffquelle kommt eine Reaktion mit (feuchter) Umge-bungsluft nach dem Aufdampfprozess in Frage. Der zweite Prozess zur Bildung einer SiOx - oderHafniumsilikat-Schicht basiert auf der katalytisch oxidativen Eigenschaft der Hf-Atome. In ei-nem Verdampfungsprozess mit niedrigem Sauerstoffpartialdruck dissoziieren die Metallatomemolekularen in atomaren Sauerstoff und unterstützen so die Reaktion von Sauerstoff mit derSi-Oberfläche. ZHANG ET AL. konnten die Bildung einer Zwischenschicht mit einer hohen Grenz-flächenzustandsdichte Dit bei gesputterten HfO2-Schichten nachweisen [196]. Neben einer ho-hen Grenzflächenzustandsdichte ist die relative Permittivität εr der Zwischenschicht deutlichgeringer als die der HfO2-Schicht, so dass die kapazitätsäquivalente Schichtdicke C ET des Gate-Stapels höher ist als bei einem vergleichbaren MOS-Kondensator mit einem HfO2-Dielektrikumohne Zwischenschicht. Um die Silikatbildung zu vermeiden, kann vor der HfO2-Abscheidungeine dünne, thermische SiO2-Schicht auf dem Si-Substrat aufgewachsen werden, das nach MO-NAGHAN ET AL. eine Mindestdicke von dox=0,6 nm aufweisen muss [30], um eine chemische Re-aktion zwischen Si-Substrat und der HfO2-Schicht zu unterbinden. Dieses Verfahren verbessertdie elektrischen Eigenschaften der Grenzfläche, verhindert jedoch nicht eine höhere kapazitäts-äquivalente Oxiddicke C ET des Bauelements.

5.2.2 Kapazität-Spannungs-Verhalten

In Abbildung 5.3 ist das Kapazität-Spannungs-Verhalten eines pMOS-Kondensators mit HfO2-Dielektrikum und W-Elektrode gezeigt sowie als Referenz ist die nach HAUSER ET AL. berech-

Kapitel 5. Elektrische Charakterisierung 127

Page 6: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators mit äquivalenterOxidschichtdicke C ET und gleicher Elektrodenfläche A dargestellt [197]. Die Oxidkapazitätwurde mit Cox=36,1 pF ermittelt, was einer kapazitätsäquivalenten Schichtdicke des gesam-ten Schichtstapels C ET=1,04 nm entspricht. Rechnerisch ergibt sich unter Annahme einerSiOx -Zwischenschicht der Dicke dSiOx

=0,6 nm eine relative Permittivität εr,HfO2=24,3 der HfO2-

Schicht.

���� ���� ����

��

��

��

����� �!�"�#�$%!�&

'(�����)��

*+,(�����!��(��-./

���������

���

������������������

���

���

���

���

���

���

���

���

ΔΔΔΔ�

����������

�� ������

��� ���

� �

ΔΔΔΔ�

Abbildung 5.3: Kapazität-Spannungs-Charakteristik eines pMOS-Kondensators mit HfO2-Dielektrikum

(Schichtdicke dHfO2=2,5 nm) und W-Elektrode sowie berechnete Vergleichsdaten eines

äquivalenten idealen pMOS-Kondensators ohne Ladungen im Dielektrikum.

Die Präsenz von festen Ladungen Qf in der HfO2-Schicht führt zu einer Verschiebung der gesam-ten Kapazität-Spannungs-Charakteristik um ΔV1=-0,14V im Vergleich zur idealen Charakteri-stik. Gemäß Kapitel 2.2.8 wurde aus der 1/C2-Darstellung die Flachbandspannung des MOS-Kondensators mit VFB=-0,3 V bestimmt. Diese weicht mit ΔVFB=-0,05 V von der Flachband-spannung des idealen MOS-Kondensators ab. Der Unterschied zwischen ΔV1 und ΔVFB liegtin der Verzerrung der Charakteristik durch den Einfluss von umladbaren Grenzflächenzustän-den Qit begründet, die im Übergang vom Akkumulations- in den Verarmungsbereich und vomVerarmungs- in den Inversionsbereich am stärksten ausgeprägt ist. Die Dichte der Grenzflächen-zustände wurde nach der Leitwertmethode (vgl. Kapitel 2.2.10) mit Dit=2,5·1012 cm−2eV−1 be-stimmt. Das Maximum der Gp/ω-Kurve liegt bei einer Frequenz f =10 kHz, was nach Gleichung2.42 einer Zeitkonstanten der Grenzflächenzustände von τit=16 μs entspricht. Dieser Wert istkonsistent den Ergebnissen der Untersuchung von HURLEY ET AL. an einem vergleichbaren HfO2-basierten Materialsystem [198]. Wie Abbildung 5.3 entnommen werden kann, ist bei Messungeiner Kapazität-Spannungs-Charakteristik aus dem Akkumulationsbereich in den Inversionsbe-reich und wieder zurück eine Hysterese der Charakteristik von ΔV2=-0,2 V feststellbar. DieHysterese wird durch umladbare Zustände Qot im Volumen der HfO2-Schicht hervorgerufen,deren Dichte gemäß Gleichung 2.44 mit Not=4,05·1012 cm−2 bestimmt wurde.

128 5.2 Bauelemente mit HfO2-Gatedielektrika aus einem PVD-Prozess

Page 7: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

5.2.3 Strom-Spannungs-Verhalten

Abbildung 5.4 zeigt Strom-Spannungs-Charakteristiken von nMOS-Kondensatoren mit HfO2-Dielektrikum der Dicke dHfO2

=2,5 nm und W-Ti-Elektrode für den Betriebsbereich der Akkumu-lation.

��� ��� ��� ��� ��� ��� �������

���

����

����

����

���

����

����

���

��

*0'

��1�2

(���3*

��1�2

(���3*

���������� �����! ��"

���#�

������������������

,� & &#4-&4#�!�'���'(�5��)�6 ���7(����)�0���'(���5����)�6 ���7(����)�*���'(� 5� �)�6���7( ���)�

*0'

'" $%�81�&��2�#9&4#�

���

����

����

����

���

����

����

���

��

���

����

����������$ ��%�&����"

���

Abbildung 5.4: Strom-Spannungs-Charakteristiken vonMOS-Kondensatoren mit HfO2-Dielektrikum undW-

Ti-Elektrode. Die Charakteristiken sind jeweils auf Elektrodenfläche und -umfang normiert.

Die Leckstromdichte bei einer Gate-Spannung von VG=1,5 V liegt im Bereich von VG=0,05-0,8 A/cm2. HfO2-Schichten, die bei der höheren Substrattemperatur Tdep=280 °C abgeschiedenwurden, zeigen einen niedrigeren Leckstrom. Eine Normierung des Leckstroms IG auf die Flächebzw. Randlänge der Elektrode des Bauelements zeigt, wie Abbildung 5.4 entnommen werdenkann, keine eindeutige Skalierung mit der Fläche oder der Randlänge des Bauelements. Ska-liert der Leckstrom mit der Fläche des Bauelements, so kann die Fläche eines Bauelements indie Fläche vieler parallel verschalteten Einzelbauelemente aufgeteilt werden, von denen jedesaufgrund der in Kapitel 2.1.4 beschriebenen Stromleitungsmechanismen und einer bestimmtenAnzahl an Defekten im Dielektrikum einen Teilbetrag zum Gesamtleckstrom leistet, der nachden KIRCHHOFF’schen Gesetzen der Summe der Einzelströme entspricht. Skaliert der Leckstromeines MOS-Bauelements mit der Randlänge, liegen im Randbereich des Bauelements Schwach-stellen vor, die eine höhere Leckstromdichte aufweisen. Insbesondere bei der Herstellung vonMOS-Bauelementen in einer Gate-Last-Technik besteht das Risiko, dass der Materialstrom wäh-rend der Abscheidung von der Quelle hin zum Substrat von den Wänden der Grabenstrukturenabgeschattet wird und somit in diesen Bereichen die Schichtdicke des Dielektrikums dünner istals in der Mitte einer Grabenstruktur. Dieser These entspricht die Beobachtung des niedrige-ren Leckstroms bei höherer Substrattemperatur während der Abscheidung, da durch die höhereTemperatur der Teilchenstrom über der Substratoberfläche weniger gerichtet ist und somit einebessere Bedeckung der Randbereiche stattfindet. In Abbildung 5.5 ist der nanoskalige Strom-fluss bei konstanter Vorspannung durch eine HfO2-Schicht der Dicke dHfO2

=2,5 nm gezeigt, diein einer Grabenstruktrur abgeschieden wurde.

Kapitel 5. Elektrische Charakterisierung 129

Page 8: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

��

��

��

�������������������������������������������������

��

��������� ��

��������

� ��

������� �

��

����

Abbildung 5.5: Rasterkraftmikroskopische Aufnahme des nanoskaligen Stromflusses durch eine HfO2-

Schicht der Dicke dHfO2=2,5 nm in einer Grabenstruktur bei konstanter Vorspannung der

Messspitze mit VBias=-8,5 V. Neben einer Stromüberhöhung im Bereich der linken, rechten

und oberen Grabenwand ist ein deutlicher Leckstrom durch das Dielektrikum im Bereich

der unteren Grabenwand feststellbar.

Im Bereich der Grabenwände zeigt sich im Vergleich zur Grabenmitte ein höherer Leckstromdurch das Dielektrikum. Durch Kontrollmessung einer Referenzstruktur mit SiO2-Dielektrikumkonnte ein durch Reibung der Spitze an der Grabenwand induzierter Verschiebestrom als Ur-sache für den erhöhten Stromfluss ausgeschlossen werde, so dass dies der Nachweis eines Ab-schattungseffekts während der Abscheidung ist. Die deutliche Ausprägung des Leckstroms ander unteren Grabenwand ist in der Geometrie der Aufdampfanlage begründet, da die zu be-schichtenden Substrate in der Anlage in verkippter Stellung eines Rotationsbewegung um dieQuelle ausführen und somit die untere Grabenwand eine stärkere Maskierung des Teilchen-stroms verursacht. Durch Abscheidung der HfO2-Schicht in einer Aufdampfanlage mit einemPlanetengetriebe, in der die Substrate zusätzlich in einer zweiten Ebene rotieren, wäre dieserEffekt sicher vermeidbar.

Die Ergebnisse dieser Untersuchung zeigen, dass der Leckstrom sowohl eine Flächen- als aucheine deutliche Randabhängigkeit aufweist. Diese Eigenschaft verhindert eine weitergehende Un-tersuchung der elektrischen Eigenschaften der HfO2-Schicht wie die Bestimmung der Durch-bruchsspannung oder eines Stromleitungsmechanismus.

5.2.4 Transistoreigenschaften

Wie im vorherigen Kapitel gezeigt, führt eine höhere Substrattemperatur Tdep während desAufdampfprozesses zu einer besseren Bedeckung im Kantenbereich der Grabenstrukturen unddamit zu einem vergleichsweise niedrigeren Leckstrom. Daher wurde das HfO2-Dielektrikumzur Herstellung der MOS-Transistoren in einer Gate-Last-Technik bei einer Substrattemperaturvon TSub=280 °C abgeschieden. Im Transistorbetrieb wirkt sich die nicht vollständig vermeid-bare Dünnung des Dielektrikums im Bereich der Grabenwände durch einen Leckstrom zwi-

130 5.2 Bauelemente mit HfO2-Gatedielektrika aus einem PVD-Prozess

Page 9: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

schen Source- und Drain-Gebiet über die Gate-Elektrode aus (vgl. Abbildung 5.6b). Der Metall-Halbleiterkontakt zwischen Gate-Elektrode und den Source- und Drain-Gebieten weist aufgrundder hohen lokalen Substratdotierung ein ohmsches Verhalten auf und ist unabhängig von derGate-Spannung VG. Abbildung 5.6a zeigt eine für diesen Leckstrom korrigierte Ausgangskennli-nie eines n-Kanal-MOS-Transistors.

��� ��� ��� ��� ������

���

���

���

���

���

���

���

��

'����������

$ '��

"�

'��������������'���

��������� ������������������������������������� �

!�

�� �!

�"# �$�

���

������������

(a) Ausgangskennline eines n-Kanal-MOS-Transistors mit HfO2-Dielektrikum und W-Ti-Elektrode, hergestellt in einer Gate-Last-Technik.

�%&' (

)�*+,-

(b) Schematische Darstellung desLeckstrom Ileak.

Abbildung 5.6: Ausgangskennlinie eines n-Kanal-Transistors mit HfO2-Dielektrikum und W-Ti-Elektrode

(links). Aufgrund einer prozessbedingten Dünnung des Dielektrikums im Bereich der Gra-

benwände fließt zwischen Source- und Drain-Gebiet ein Leckstrom Ileak über die Gate-

Elektrode (rechts), der nur von der Drain-Spannung VD und nicht von der Gate-Spannung

VG abhängig ist. Die gezeigte Kennlinie wurde für den Leckstrom Ileak korrigiert.

Weiterführende Messungen wie die Bestimmung der Grenzflächenzustandsdichte Dit mittelsCharge-Pumping sind mit diesen Bauelementen ebenso wie eine akurate Bestimmung der La-dungsträgerbeweglichkeit μ aufgrund des Leckstroms Ileak nicht möglich. Die untersuchten HfO2-Schichten zeigen für die Verwendung als High-k-Dielektrikum vielversprechende Eigenschaften,jedoch ist die hier vorliegende Abscheidemethode mittels Elektronenstrahlverdampfung als un-geeignet einzustufen.

5.3 Bauelemente mit Gd2O3-Gatedielektrika aus einem PVD-Prozess

Gadoliniumoxid (Gd2O3) kann in einer Elektronenstrahlverdampfungsanlage, wie sie beispiels-weise zur Erzeugung von Metallschichten genutzt wird, verdampft und auf Substraten abge-schieden werden. Vorteil dieser Methode ist der vergleichsweise geringe apparative Aufwand.Im Rahmen dieser Arbeit wurden Gd2O3-Schichten der Dicke dGd2O3

=46, 23 und 17 nm auf p-Si-Substraten abgeschieden und auf die Eignung als Dielektrikum untersucht.

Die Experimente zur Abscheidung von Gd2O3-Schichten wurden in einer Aufdampfanlage vomTyp Balzers BAK 550 durchgeführt. Dazu wurde als Granulat erhältliche Gd2O3 in einen Tiegel

Kapitel 5. Elektrische Charakterisierung 131

Page 10: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

gefüllt und bei verschiedenen Kammerinnendrücken von pO2,1=1,5·10−4 mbar, pO2,2=1,0·10−4

mbar und pO2,3=6,0·10−5 mbar durch Erhitzen mit einem Elektronenstrahl verdampft. Das Mate-rial geht dabei direkt vom festen in den gasförmigen Zustand über. Die Einstellung des Kamme-rinnendrucks erfolgte durch gezielte Zuflussregelung von O2 mit einem Nadelventil, eine geziel-te Erhitzung oder Kühlung des Substrats auf eine definierte Substrattemperatur Tdep war nichtmöglich. Anhand von Erfahrungswerten ist davon auszugehen, dass die Substrattemperaturwährend der Abscheidung im Bereich von Tdep=250−300 °C ist. Ein von Molekularstrahlepitaxie-Prozessen her bekanntes kristallines Wachstum auf Si-Substraten ist aufgrund fehlender Ultra-hochvakuum-Bedingungen und der niedrigen Substrattemperatur nicht zu erwarten. Das Gd2O3-Granulat wurde vor der Abscheidung mit dem Elektronenstrahl bei geringer Leistung ausgeheizt,um anhaftende Wasser- und Kohlenstoffmoleküle zu entfernen. Nach dem Aufdampfprozesswurden die Gate-Metalle Cr bzw. W-Ti jeweils ohne Bruch des Vakuums aufgebracht.

5.3.1 Physikalische und elektrische Schichteigenschaften

Abbildung 5.7 zeigt rasterkraftmikroskopische Aufnahmen einer aufgedampften Gd2O3-Schichtder Dicke d=46 nm. Die mittlere Rauheit des dargestellten Ausschnitts beträgt RMS=0,925 nm.Auffällig ist eine lokale Schwankung der Schichtdicke mit RMAX=13,856 nm, die auch an ande-ren Stellen der Probe in ähnlicher Größenordnung nachgewiesen werden konnte. Analog zumAbscheideprozess bei Metallen wird das Schichtwachstum von Kondensationskeimen ausgehendin ein Inselwachstum übergehen, die sich im weiteren Prozessverlauf zur Gesamtschicht verbin-den. Die gezeigte Stelle bildet damit den Kontaktbereich zweier Inseln. Neben der Topographieist ist die lokale Dünnung der Schicht in einem erhöhten Stromfluss sichtbar.

���

�����������������������������������������������������

��

��

��������� ��

��������

� ��

���

�� ��

(a) Topographische Darstellung.

���

�����������������������������������������������������

��

��������� ��

��������

� ��

����������

� ���

(b) Elektrische Darstellung.

Abbildung 5.7: Rasterkraftmikroskopische Charakterisierung einer aufgedampften Gd2O3-Schicht der

Dicke dGd2O3=46 nm. Auffällig sind Schwankungen der Schichtdicke um bis zu 20 nm, die

mit einem erhöhten Stromfluss korrespondieren. Die Vorspannung zwischen Substratunter-

seite und der Messspitze beträgt VBias=-8,5 V.

132 5.3 Bauelemente mit Gd2O3-Gatedielektrika aus einem PVD-Prozess

Page 11: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

5.3.2 Kapazität-Spannungs-Verhalten

In Abbildung 5.8a ist die Kapazität-Spannungs-Charakteristik eines in Gate-Last-Technik her-gestellten pMOS-Kondensators mit Gd2O3-Dielektrikum (Schichtdicke dGd2O3

=17 nm)und W-Ti-Elektrode gezeigt.

��� �� ��� �� ����

��

��

��

��

��

��

��

���������������

����

��� ��!

" �#�

"���������$" $�

���

���

���

���

���

��

���

��

���

���

��� ��� ��� ����

��

��

�! �

#

$"

./� �� ��� ��� �000 ����1�2

����

��� ���

���#% ����!&

�# '#(��

) �

3/�����4��

(a) Kapazität-Spannungs-Charakteristik bei verschiede-nen Messfrequenzen f .

� �� � �� � ���

����

���

����

����

���

��()"*�

ωω ωω���+���

� � ��,����&�-.��

�56 ��

���

��

���

���

��

���

��

�/0/

� ��

����

�/�

�����&��������+��� + �����'

��

(b) Gp,MAX vs. f -Darstellung zur Bestimmung der DichteDit und Zeitkonstante τit der Grenzflächenzustände.

Abbildung 5.8: Kapazität-Spannungs-Verhalten eines pMOS-Kondensators mit Gd2O3-Dielektrikum undW-

Ti-Elektrode. Die physikalische Schichtdicke beträgt dGd2O3=17 nm, die kapazitätsäquiva-

lente Dicke C ET=4,26 nm, die Elektrodenfläche A=2500 μm2.

Die Kapazität-Spannungs-Charakteristik zeigt eine schwache Frequenzdispersion im Bereich derFlachbandspannung VFB, die aus der 1/C

2-Darstellung mit VFB =0,05 V bestimmt wurde. Nachder Leitwertmethode wurde die Grenzflächenzustandsdichte mit Dit=3,5·1012 cm−2eV−1 (vgl.Abbildung 5.8b) und die Zeitkonstante der Grenzflächenzustände mit τit=6,3 μs ermittelt. VonKASHIWAGI ET AL. wurde ein vergleichsweise höherer Wert mit Dit=9·1012 cm−2eV−1 für amor-phe Gd2O3-Schichten bestimmt [199]. Der Vergleich des gemessenen und des nach HAUSER

ET AL. berechneten idealen Kurvenverlauf zeigt deutlich die Präsenz der Grenzflächenzuständedurch die Streckung der Kapazität-Spannungs-Charakteristik und die daraus resultierende Ver-schiebung der Flachbandspannung VFB. Eine Hysterese der Kapazität-Spannungs-Charakteristikwurde bei den untersuchten Bauelementen mit ΔV=700 mV nachgewiesen, was einer Dichteder umladbaren Zustände im Volumen der Gd2O3-Schicht von Not=3,49·1012 cm−2 entspricht.Die Oxidkapazität beträgt Cox=81 pF bei einer Messfrequenz f <70 kHz. Dies entspricht einerkapazitätsäquivalenten Schichtdicke von C ET=4,26 nm und einer relativen Permittivität desGate-Stapels von εr=15,83. Dieser Wert steht in guter Übereinstimmung mit der von LANDHEERET AL. bestimmten dielektrischen Leitfähigkeit εr=16±0,3 einer aufgedampften Gd2O3-Schichtder Dicke dGd2O3

=25 nm [200]. Weiterhin beobachteten LANDHEER ET AL. die Ausbildung einerZwischenschicht der Dicke dIFL=0,5 nm zwischen Si-Substrat und Dielektrikum nach dem Auf-dampfprozess und bestimmten den Schichtaufbau mittels Transmissionselektronenmikroskopieund Röntgenbeugung als einen Drei-Schichten-Stapel bestehend aus SiO2, amorphen SiGdO undpolykristallinen Gd2O3. Dieser entsteht durch einen nicht optimal gewählten Sauerstoffpartial-druck in der Prozesskammer während der Abscheidung. Dieser Effekt konnte auch an eigenenProben nachgewiesen werden, wie aus Abbildung 5.9, in der die physikalische SchichtdickedGd2O3

der elektrischen kapazitätsäquivalenten Schichtdicke C ET gegenübergestellt ist, eindeu-

Kapitel 5. Elektrische Charakterisierung 133

Page 12: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

� �� �� �� � ���

��

��

1,��2������34� ��-�56��

��

� �37�������� ��-� �4����

�!�78���8����9�

�:�!

��� ;� ����<8�

�:�!

��� ;� ����<8�

ε=�!��

���

��9�������>?�@9A�$@9A�9A��

����$���:�!

��� ;� ����<8��

���$���:�!

��� ;� ����<8��

Abbildung 5.9: Aus der Gegenüberstellung der physikalischen Schichtdicke dox und der kapazitätsäqui-

valenten Schichtdicke C ET kann durch Extrapolation die Dicke der Zwischenschicht für

verschiedene Sauerstoffpartialdrücke während der Abscheidung ermittelt werden.

tig hervorgeht. Eine Extrapolation der bestimmten Werte von C ET ergibt eine Dicke der Zwi-schenschicht von dIFL=1,2 nm (pO2

=4·10−4 mbar) und dIFL=0,5 nm (pO2=5·10−5 mbar)1,0 nm.

Die Dicke der Zwischenschicht steigt also mit zunehmenden Sauerstoffpartialdruck, so dass voneiner SiOx-Bildung an der Grenzfläche zum Si-Substrat ausgegangen werden kann. Die Annah-me einer SiOx -Zwischenschicht mit Permittivität εr,SiOx

=εr,SiO2=3,9 führt rechnerisch zu einer

Permittivität der Gd2O3-Schicht von εr,Gd2O3≈18. Bei dieser Abschätzung nicht berücksichtigt ist

die in Kapitel 5.3.1 gezeigte Schwankung der Schichtdicke, die zu einem zu hoch bewertetenWert der Permittivität εr,Gd2O3

führt.

Die Kapazität-Spannungs-Charakteristik eines in einer Gate-First -Technik hergestellten pMOS-Kondensators mit Cr-Elektrode und Gd2O3-Dielektrikum der Dicke dGd2O3

=17 nm (pO2=6·10−5

mbar) aus Abbildung 5.10a zeigt eine kontinuierliche Links-Verschiebung der Charakteristik beiaufeinanderfolgenden Messungen aus dem Inversions- in den Akkumulationsbereich, die nachder ersten Messung am stärksten ausgeprägt ist und sich nach ca. 20 Messungen absättigt. Wei-terhin weist die Kapazität-Spannungs-Charakteristik eine hohe Hysterese von ΔV=-1,85 V auf,die rechnerisch einer Dichte der umladbaren Zustände von Not=9,23·1012 cm−2 entspricht. Diesentspricht in etwa dem dreifachen Wert von Not für einen MOS-Kondensator mit unter gleichenBedingungen und gleicher Schichtdicke aufgedampften Dielektrikum und einer W-Elektrode,der in einer Gate-Last -Technik hergestellt worden ist. Die Linksverschiebung der Kapazität-Spannungs-Charakteristik entspricht dem Einfangen von positiven Ladungsträgern oder derAbgabe von negativen Ladungsträgern der Zustände im Volumen der Gd2O3-Schicht. Interes-santerweise fällt der Wert der Kapazität in Akkumulation nach dem Wechsel der Polarität derGate-Spannung sofort auf einen Minimalwert ab, was auf eine niedrige Lebensdauer der Ma-joritätsladungsträger an der Grenzfläche des Si-Substrats zur Gd2O3-Schicht hindeutet. DiesesPhänomen ist unabhängig von dem Spannungswert von VG zum Zeitpunkt des Richtungswech-sels der Spannungsrampe.

134 5.3 Bauelemente mit Gd2O3-Gatedielektrika aus einem PVD-Prozess

Page 13: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

Im Betriebsbereich der Inversion zeigt die Kapazität-Spannungs-Charakteristik des in einemGate-First-Verfahren hergestellten MOS-Kondensators aus Abbildung 5.10a bei einer Messfre-quenz f =30 kHz im Vergleich zur Kapazität-Spannungs-Charakteristik aus Abbildung 5.8a einenungewöhnlich hohen Wert der Inversionskapazität Cinv, der mit der Anzahl der Messungen stetigabnimmt. Der MOS-Kondensator befindet sich in diesem Bereich nicht in einem Gleichgewichts-zustand und die Zahl der Minoritätsladungsträger an der Grenzfläche zwischen Si-Substratund Gd2O3-Schicht ist für diesen Frequenzbereich f =30 kHz deutlich erhöht. Messungen derKapazität-Spannungs-Charakteristik mit Beginn bei einer Gate-Spannung von VG=0 V weisenwie in der Einsatz in Abbildung 5.10a gezeigt den erwarteten Verlauf ohne eine Überhöhung imInversionsbereich auf. Somit führt in diesem Fall eine positive Gate-Spannung zur Abweichungvon einer idealen Kapazität-Spannungs-Charakteristik.

� �� �� �� � � ��

��

��

���

���

��� ��� ����

���

���

���

�����

���

�������

���� �������� �������� ��

���� �������� ��

���� �������� ��

���� �������� ��

���������

���

������������������

�����������������

��������

(a)Messung unter verschiedenen Messbereichen undRampenarten.

�� �� �� �� ��

��

��

��

��

���

���

��

���

� !"

#

$%��%��%$�%&�%���������

����

���

���

��������������� ���

���%&�%$�%��%��%$����

(b)Messung mit und ohne Einfluss von Lichteinfall.

Abbildung 5.10: Kapazität-Spannungs-Charakteristik eines pMOS-Kondensators mit Cr-Elektrode, Gd2O3-

Dielektrikum der Dicke dGd2O3=17 nm und Elektrodenfläche A=2500 μm2, hergestellt in

einem Gate-First -Verfahren.

Weiterhin zeigt das Kapazität-Spannungs-Verhalten eine hohe Sensitivität gegenüber Lichteinfallwährend der Messung. Wie in Abbildung 5.10b gezeigt, führt Lichteinfall zu einem signifikantenund frequenzabhängigen Anstieg der Inversionskapazität.

Die Ursache für dieses anormale Kapazität-Spannungs-Verhalten ist in der Prozessführung zufinden. Die Cr-Elektrode wurde gemäß dem Gate-First -Ansatz in einem trockenchemischen Ätz-prozess strukturiert. Das hochenergetische Plasma hat die Cr-Schicht wie beabsichtigt entfernt,die darunterliegende Gd2O3-Schicht wurde allerdings nicht geätzt. Vielmehr erscheint wahr-scheinlich, dass die Gd2O3-Schicht durch das Plasma geschädigt wurde, was zu einer Ausbildungvon positiv geladenen Defekten im Volumen führte. Diese bewirken eine dauerhafte Akkumu-lation von Elektronen an der Grenzfläche des Si-Substrats zur Gd2O3-Schicht außerhalb desMOS-Kondensators, die auf das Kapazität-Spannungs-Verhalten des MOS-Kondensators Einflussnehmen. Die Inversionladung ist durch die zusätzlichen Ladungsträger, die aus dem Umge-bungsbereich des MOS-Kondensators stammen, höher als bei einem idealen MOS-Kondensatormit elektrisch neutralem Umfeld. Daher übertrifft im Betriebsbereich der Inversion der Ka-pazitätswert Cinv den Wert der Kapazität im Betriebsbereich der Akkumulation Cox, was beieinem idealen MOS-Kondensator in einem Gleichgewichtszustand physikalisch nicht möglich

Kapitel 5. Elektrische Charakterisierung 135

Page 14: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

ist. Wird die Rampenrichtung bei der Aufnahme der Kapazität-Spannungs-Charakteristik despMOS-Kondensator im Bereich der Akkumulation geändert und die Gate-Spannung VG nimmtwieder zu, führen die Elektronen aus dem umgebenden Substrat durch Rekombination mit denMajoritätsladungsträgern zu der steilen und sofortigen Absenkung der Kapazität-Spannungs-Charakteristik auf einen Minimalwert wie in Abbildung 5.10a gezeigt. Ein ähnliches Phänomeneiner prozessbedingten Aufladung einer High-k-Schicht wurde von BERSUCKER ET AL. für in einemGate-First-Prozess hergestellte Hf-basierte Bauelemente beobachtet [201]. In einem Gate-Last-Prozess tritt dieses Problem nicht auf, wie Abbildung 5.8a entnommen werden kann, da hierdas Dielektrikum nicht mit einem hochenergetischen Plasma in Kontakt kommt.

5.3.3 Strom-Spannungs-Verhalten

In Abbildung 5.11 sind Strom-Spannungs-Charakteristiken von MOS-Kondensatoren mit auf-gedampften Gd2O3-Dielektrika gezeigt. MOS-Kondensatoren mit einer W-Ti-Elektrode, die ineinem Gate-Last-Prozess hergestellt worden sind, weisen mittlere Durchbruchsspannung vonVBD=-7,5 V auf, was einer elektrischen Durchbruchfeldstärke Eox,BD=-4,4 MV/cm entspricht.Aufgrund des Messbereichs von 0 bis -20 V ist der Rauschpegel des Messgeräts bei Inoise=10

−8 A,daher kann keine Aussage über den Leckstrom bei einer Referenzspannung von VG=-1,5 V ge-troffen werden. Der Stromleitungsmechanismus im Spannungsbereich von VG=-5 V bis zumdielektrischen Durchbruchs wurde aufgrund der Linearität der Kennlinie in der ln(JG/Eox) vs.1/Eox-Darstellung als Fowler-Nordheim-Tunneln identifiziert.

��� ��� ��� �� �����

���

����

����

����

���

����

����

���

����������$ ��"

������������������

��'�(���(�����)�������*�(�+,-�����

���

����

����

���

��

���

����

���������� �����! ��"

���

� �

.+�/��'(+��01��)�2

.+,��1�����0��3451�� ����04/��'(6)�1�75+

(a) Gate-Last-Prozess, W-Ti-Elektrode.

��� �� � �� �� ����8

����

���

���

����

����

����

����

���

���

����

���

����

��� �

����

�� �

���

����

���

���

��������������� ���

����

����

���

����

���

��

���

����

��

(b) Gate-First-Prozess, Cr-Elektrode.

Abbildung 5.11: Strom-Spannungs-Charakteristiken von MOS-Kondensatoren mit Gd2O3-Dielektrikum der

Dicke dGd2O3=17 nm (CET=4,26 nm) und Elektrodenfläche A=2500 μm2. Die Prozessierung

in einem Gate-First -Verfahren führt zu einem Anstieg des Leckstromniveaus durch fehl-

stellenunterstütztes Tunneln und zu einer stärkeren Streuung der einzelnen Messungen.

MOS-Kondensatoren mit einer Cr-Elektrode, die in einem Gate-First-Prozess hergestellt wordensind, weisen deutliche Unterschiede in der in Abbildung 5.11b gezeigten Strom-Spannungs-Kennlinie wie einen höheren Leckstrom im Vergleich zu der bereits betrachteten Strom-Spannungs-Charakteristik von MOS-Kondensatoren mit W-Ti-Elektrode aus einemmaterialschonenden Gate-Last-Prozess (Abbildung 5.11a) auf. Durch die Strukturierung der Cr-Elektrode in einem Trockenätz-prozess wurde die Gd2O3-Schicht geschädigt, was eine Zunahme des fehlstellenunterstützen

136 5.3 Bauelemente mit Gd2O3-Gatedielektrika aus einem PVD-Prozess

Page 15: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

Tunnelstroms zur Folge hat. Die Tunnelprozesse von Defekt zu Defekt im Volumen der Gd2O3-Schicht führen zu der starken Streuung der einzelnen Leckstrom-Messungen. Ein weicher dielek-trischer Durchbruch unter der Ausbildung von drei parallelen Perkolationspfaden kann in dermit (1) gekennzeichneten Kennlinie beobachtet werden. Kennlinie (2) zeigt einen harten dielek-trischen Durchbruchs. Beide Durchbruchsmechanismen sind durch die prozessinduzierte hoheDefektdichte des Dielektrikums begünstigt. Kennlinie (3) zeigt das Strom-Spannungs-Verhalteneines MOS-Kondensators mit extrinsischen Defekten im Dielektrikum. Diese Kennlinie entsprichtder Strom-Spannungs-Charakteristik eines defekten MOS-Transistors aus Abbildung 5.11a.

Im vorherigen Kapitel wurde der Einfluss einer prozessinduzierten Aufladung des Dielektrikumsanhand der Kapazität-Spannungs-Charakteristik diskutiert. In Abbildung 5.12 ist das zugehö-rige Strom-Spannungs-Verhalten der in einem Gate-First-Verfahren hergestellten Bauelementegezeigt.

� �� �� �� � � �

����

�����

�����

�����

�����

����

����������$ ���

������������������

6(��+,-��9�)�(����:6��;�

Abbildung 5.12: Strom-Spannungs-Charakteristik eines MOS-Kondensators mit Gd2O3-Dielektrikum und

Cr-Elektrode, hergestellt in einemGate-First-Prozess, bei Durchfahren einer Hin- und Rück-

messung.

Die Charakteristik zeigt eine deutliche Hysterese sowie von der y-Achse abweichende Vorzei-chenwechsel des Gate-Stroms IG, die sowohl von der Rampengeschwindigkeit als auch vomMessbereich abhängig sind. Mit dieser Strom-Spannungs-Charakteristik kann der Ungleichge-wichtszustand des Bauelements nachgewiesen werden.

5.4 Bauelemente mit HfO2-Dielektrika aus einem ALD-Prozess

Neben dem Elektrodenmetall TiN können auch High-k-Dielektrika in einem ALD-Prozess abge-schieden werden. Vorteile sind die hohe Konformität und Steuerbarkeit der Schichtdicke. ImRahmen einer Forschungskooperation wurde am Applied Research Center in Newport News(Virginia, USA) das amorphe High-k-Dielektrikum HfO2 in einem ALD-Verfahren auf planarenund für die Fertigung von Gate-Last-Bauelementen vorstrukturierten Substraten in amorphenSchichten abgeschieden. Das prinzipielle Abscheideverfahren entspricht dem in Kapitel 3.1.3erläuterten ALD-Verfahren für Metalle. Als Prekursor wird TDMAH (Tetrakisdimethylamidohaf-nium, Hf((CH3)2N)4) und Wasser (H2O) in einer ALD-Anlage vom Typ Cambridge NanoTech Sa-vannah 100 verwendet. Dieses für Forschungszwecke entwickelte Laborgerät verfügt über zwei

Kapitel 5. Elektrische Charakterisierung 137

Page 16: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

getrennte Prekursor-Linien und wird mittels LabView gesteuert. Die Abscheiderate ist für beideden verwendeten Prozess nicht konstant und von der Anzahl der Prozesszyklen abhängig. Wiein Abbildung 5.13 gezeigt, beträgt die Rate bei einer Abscheidetemperatur von Tdep=250 °C zuBeginn des Prozesses Rdep=0,139 nm/Zyklus und wechselt nach einer Stabilisierungsphase von15 Zyklen zu einer konstanten Rate von Rdep=0,096 nm/Zyklus.

� � �� �� �� �� �� �� � ��

����������� ����� ��������� ������� ��-���

��

"����� ��'���+�����+�8-�����959�

����������� ����� ���������

Abbildung 5.13: Abscheiderate des ALD-Prozesses für HfO2- und ZrO2-Schichten in Abhängigkeit von der

Zyklenzahl.

5.4.1 Physikalische und elektrische Schichteigenschaften

Die HfO2-Schichten wurden ohne thermisch oder chemisch generierte SiO2-Zwischenschichtauf den Si-Substraten abgeschieden, auf denen zuvor in einem „HF-Last“-Verfahren die nativgewachsene SiO2-Schicht mit einem HF-Dip entfernt wurde. Abbildung 5.24 zeigt rasterkraft-mikroskopische Aufnahmen einer auf einem planaren Si-Substrat abgeschiedenen HfO2-Schichtder Dicke dHfO2

=3,3nm.Die mittlere Rauheit des untersuchten Oberflächenausschnitts beträgt RMS=0,317 nm. Die elek-trische Messung zeigt bei einer Vorspannung der Messspitze von VBias=-8,5 V keine lokalenÜberhöhungen des Tunnelstroms durch das Dielektrikum in Form von Fremdeinschlüssen oderoffenen Poren (engl. pinhole). Die Vorspannung wurde nach Messung von Strom-Spannungs-Kennlinien mit dem Rasterkraftmikroskop so gewählt, dass der gemessene Tunnelstrom leichtoberhalb der Rauschgrenze des Messaufbaus liegt. Insbesondere der Durchmesser der Messspit-ze sowie der Übergangswiderstand, der während der Betriebsdauer aufgrund der Abnutzungder leitenden Metallschicht auf der Messspitze einiger stetigen Zunahme unterliegt, lassen einedefinierte Aussage der über dem Dielektrikum abfallenden Spannung nicht zu, so dass diesesMessverfahren nur qualitative Aussagen ermöglicht.

138 5.4 Bauelemente mit HfO2-Dielektrika aus einem ALD-Prozess

Page 17: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

���

���

���

��

��

� �� �� ��� ��� ���

���

���

��������� ��

��������

� ��

���

�� ��

(a) Topographische Messung.

���

���

���

��

��

� �� �� ��� ��� ���

���

����

��������� ��

��������

� ��

����������

� ���

(b) Elektrische Messungen (Vorspannung der Messspit-ze VBias=-8,5 V).

Abbildung 5.14: Rasterkraftmikroskopische Aufnahme einer in einem ALD-Verfahren auf einem p-Si-

Substrat abgeschiedenen HfO2-Schicht der Dicke dHfO2=3,3 nm.

5.4.2 Kapazität-Spannungs-Verhalten

In Abbildung 5.15a ist das Kapazität-Spannungs-Verhalten von MOS-Kondensatoren mit WTi-Elektrode und HfO2-Dielektrikum gezeigt.

��� ��� ��� ��� ��� ����

��

��

��

��

��

��

!�

�"#$%&'#("#$%&'#)))#'#*"#$%&�""#$%&'#(""#$%&'#)))#+""#$%&

�������

����� ��!

� �"�

����������#� #�

,��$-�����#���# ����$-��$�.�#/'/#0.#12 #%34(

�,5�'(�#0.,��$-����03�678�#15(9""#:.;

���

���

���

���

���

���

����

��� ���

���"$ ����!%

�" "&��

'�

(a) Kapazität-Spannungs-Charakteristik in Abhängig-keit der Messfrequenz f und der Anzahl der Mes-szyklen (Einsatz).

� � � � �

��

/� �

��4/0�/0�

�/�

(/�����)� ��)

�/��4/0�//� #���/��

<������=���� ��� �������� �� > ���� ���

?>���=�@��A�� �� =�

(b) 1/Cacc vs.�

d(1/C2acc)/dVG-Charakteristik.

Abbildung 5.15: Kapazität-Spannungs-Charakteristiken bei verschiedenen Frequenzen und Anzahl anMes-

szyklen (links) sowie 1/Cacc vs.�

d(1/C2acc)/dVG-Charakteristik zur Bestimmung der

Oxidkapazität Cox.

Die Oxidkapazität Cox kann aus der Kapazität-Spannungs-Charakteristik nicht durch Ablesen desKapazitätswertes im Akkumulationsbereich Cacc bestimmt werden, da die Charakteristik mit ab-nehmender Gate-Spannung VG weiter ansteigt und nicht auf einen Kapazitätswert sättigt. DiesePhänomen wird insbesondere bei der Untersuchung dünner High-k-Dielektrika (C ET<1,5 nm)beobachtet. Für die experimentelle Bestimmung der Oxidkapazität aus Kapazität-Spannungs-

Kapitel 5. Elektrische Charakterisierung 139

Page 18: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

Messungen für ultradünne High-k-Dielektrika erweist sich die Methode nach KAR ET AL. als be-sonders robust [202][203]. Die einzige Annahme des Verfahrens ist, dass sowohl die Kapazitätder Raumladungszone CRLZ als auch die Kapazität der Grenzflächenzustände Cit eine exponen-tielle Abhängigkeit vom Oberflächenpotential φsf im Si-Substrat aufweisen. Nach dem in Glei-chung 5.1 gegebenen Ansatz kann nach messtechnischer Bestimmung der Kapazität-Spannungs-Charakteristik des MOS-Kondensators im Betriebsbereich der Akkumulation Cacc(VG) und Auftra-gen der 1/Cacc vs.

�d(1/C2

acc)/dVG-Charakteristik eine lineare Kennlinie der Form y(x) = mx+ berstellt werden. Der Schnittpunkt der 1/Cacc-Achse mit der extrapolierten Kennlinie entsprichtdem Kehrwert der Oxidkapazität 1/Cox.

1/Cacc = 1/Cox+

�1

����d(1/C2acc)

dVG

���� (5.1)

ϑ ist eine Konstante, deren Wert für die Bestimmung der Oxidkapazität Cox unerheblich ist. Die1/Cacc vs. (d(1/Cacc

2)/dV)−1/2-Darstellung der gemessenen Kapazität-Spannungs-Charakteristikweist einen Schnittpunkt von y=1,4·1010 F−1, was einer Oxidkapazität von Cox=71,4 pF so-wie einem Kapazitätsbelag von C ′HF=2,78 μF/cm2 entspricht. Aus diesen Werten errechnet sicheine kapazitätsäquivalente Oxiddicke C ET=1,21 nm und eine relative Permittivität des Gate-Stapels von εr=10,4. Dieser Wert liegt deutlich unter den in der Literatur berichteten Permit-tivität von HfO2-Schichten mit εr,HfO2

=25. Ähnlich den untersuchten Bauelementen mit HfO2-Dielektrika aus einem PVD-Verfahren in Kapitel 5.2 liegt die Ursache der niedrigen Permittivitätdes Gate-Stapels in der Ausbildung einer Schicht mit niedrigerer relativer dielektrischer Leitfä-higkeit zwischen der HfO2-Schicht und dem Si-Substrat. Unter Annahme der Ausbildung einerSiOx -Schicht mit εr,SiOx

=3,9, ergibt sich rechnerisch eine Schichtdicke der Zwischenschicht vondSiOx

=0,72 nm. Die Ausbildung dieser Schicht, die auf Diffusionsprozessen beruht, wurde insbe-sondere durch die Aufheizung der Substrate auf T ≈300−400 °C während des Sputter-Prozessesbei der Abscheidung des CMP-Metalls und der Gate-Elektrode gefördert. Neben der Ausbildungeiner SiOx -Zwischenschicht ist auch die Bildung einer dünneren Si- oder Hf-reichen Hafniumsi-likatschicht mit einer dielektrischen Konstanten εr ≈5−9 möglich. Eine genaue Bestimmungvon Dicke und chemischer Zusammensetzung der Zwischenschicht kann allerdings nur mitaufwändigen Analysemethoden wie Transmissionselektronenmikroskopie und Sekundärionen-Massenspektrometrie durchgeführt werden.

Die Kapazität-Spannungs-Charakteristiken in Abbildung 5.15a zeigt eine schwache Abhängig-keit von der Messfrequenz im Übergang vom Verarmungs- in den Akkumulationsbereich. DieGrenzflächenzustandsdichte wurde nach der Leitwertmethode mit Dit=5,8·1011 eV−1cm−2 be-stimmt, die zugehörige Zeitkonstante der Grenzflächenzustände beträgt τit=1,46 μs. Abbildung5.16 zeigt die erste und zweite Kapazität-Spannungs-Charakteristiken eines MOS-Kondensatorsbei Messung aus dem Inversions- in den Akkumulationsbereich. Das Bauelement ist zum Zeit-punkt der ersten Messungen noch unangetastet.In beiden Messungen ist eine Hysterese der Kapazität-Spannungs-Charakteristik erkennbar, diefür die Messung 1 einen Wert von ΔVFB,1 =0,9 V und für die Messungen 2 und folgende Mes-sungen einen Wert von ΔVFB,2 =0,3 V aufweist. Die Verschiebung der Kapazität-Spannungs-Charakteristik bei Hin- und Rückmessung ist für Messung 2 reversibel, während die Verschie-bung der Charakteristik in Messung 1 zum Teil irreversibel ist. Ein Vergleich der nach HAU-

140 5.4 Bauelemente mit HfO2-Dielektrika aus einem ALD-Prozess

Page 19: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

��� ��� ��� ��� ��� ��� ����

��

��

��

��

��

��

!�

������

�������

����� ��!

� �"�

����������#� #�

����� ����

��

� ��������

���������� ����������������� ���!"#�$��%���&'

������

()*�

��� ��

Abbildung 5.16: Kapazität-Spannungs-Charakteristik eines MOS-Kondensators mit HfO2-Dielektrikum, W-

Ti-Elektrode und Fläche A=2500 μm2. Dargestellt ist die erste und zweite Messung eines

unangetasteten Bauelements.

SER ET AL berechneten Flachbandspannung VFB,ideal=-0,34 V eines idealen MOS-Kondensatorsohne Ladungen im Dielektrikum mit der aus der Kapazität-Spannungs-Charakterstik bestimm-ten realen Flachbandspannung VFB,real ergibt, das die Flachbandspannung zu Beginn der erstenMessung um ΔVFB,1=+0,32 V und zu Beginn der zweiten Messung um ΔVFB,2=-0,05 V gegen-über dem theoretisch bestimmten Wert verschoben ist. Zu Beginn der zweiten Messung sinddie Einfangstellen im Volumen eines Dielektrikums somit ungeladen, wenn man als Ursacheder Abweichung ΔVFB,2=-0,05 V einen Einfluss der Grenzflächenzustände und die Abschätzungder Austrittsarbeit der WTi-Elektrode mit φm,WTi=φm,W annimmt. Die Verschiebung der Flach-bandspannung und der Verschiebung der gesamten Kapazität-Spannungs-Charakteristik in derersten Messung wird entweder durch das Einfangen von aus dem Si-Substrat injizierten Löchernoder durch die Emission von Elektronen aus dem Dielektrikum in das Substrat verursacht. NachTSE ET AL. sind Sauerstofffehlstellen die dominanten Defektarten und somit Einfangstellen fürLadungsträger in HfO2-basierten Dielektrika [204]. Diese können sich in einem elektrisch neu-tralen Zustand (V 0

O) befinden oder jeweils ein oder zwei Löcher bzw. Elektronen aufnehmen undwieder abgeben (V+2

O , V+1O , V−1

O , V−2O ). GAVARTIN ET AL. haben negativ geladene Sauerstofffehl-

stellen im Volumen des Dielektrikums als intrinsische Einfangstellen für Elektronen theoretischund praktisch in HfO2-Filmen nachgewiesen [205]. Dies stützt die Theorie der Elektronene-mission während der ersten Messung, erklärt jedoch noch nicht den Unterschied der Hystereseder Kapazität-Spannungs-Charakteristik zwischen der ersten Messung um ΔVFB,1=-0,9 V undzweiten Messung um ΔVFB,2=-0,3 V. Durch die Entladung der Einfangstellen und die Emissi-on der Elektronen in das Si-Substrat ändert sich die Bandverbiegung im Dielektrikum sowiedie Besetzung der Energieniveaus der Einfangstellen qφt, die wie in Abbildung 5.17 gezeigtvom Ladungszustand der Sauerstofffehlstelle abhängig sind. Somit ergibt sich der folgende,in Abbildung 5.18 gezeigte Mechanismus: Während der ersten Messung vom Betriebsbereichder Inversion in die Akkumulation ändert sich der Ladungszustand der auf Sauerstofffehlstel-len basierenden Einfangstellen von V+2

O über V 0O zu V−1

O unter der Emission von Elektronen indas Valenz- und Leitungsband des Si-Substrats. Bei der Rückmessung vom Betriebsbereich der

Kapitel 5. Elektrische Charakterisierung 141

Page 20: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

��

��

����������� ������

�� � �� �� ��� ��� � �

�� � ��

� � �� � �

� �� � ��

Abbildung 5.17: Verteilung der Energieniveaus der Einfangstellen qφt in der Bandlücke einer HfO2-Schicht

in Abhängigkeit vom Beladungszustand der Einfangstelle (nach [205]).

Akkumulation in die Inversion können die energetisch tiefer in der Bandlücke liegenden Ein-fangstellen aufgrund der veränderten Bandverbiegung in der HfO2-Schicht nicht mehr beladenwerden und der intrinsische Beladungszustand V−2

O kann somit nicht mehr erreicht werden.

�������������������������

��������

����� �����

���

(a) Erstes Erreichen des Betriebsbereichsder Akkumulation. Vom intrisischenV−2O -Ladungszustand der Sauerstoff-fehlstelle werden unter Umwand-lung in einen V+1

O -Zustand Elektro-nen in das Leitungs- und Valenzbanddes Si-Substrats emittiert.

�������������������������(b) Betriebsbereich der Inversion. Elek-

tronen werden aus dem Leitungs-band des Si-Substrats in das Dielek-trikum injiziert und von der Sau-erstofffehlstelle unter Bildung einesV 0O-Zustands eingefangen.

Abbildung 5.18: Mechanismus der Umladung von Zuständen an Sauerstofffehlstellen im Volumen von HfO2-

Schichten. Die Darstellung ist bezüglich der Energieniveaus maßstäblich gezeichnet.

Vielmehr pendelt das System bei folgenden identischen Messzyklen zwischen den energetischeng beieinanderliegenden Ladungszuständen V 0

O und V+1O der Sauerstofffehlstellen. Dieser The-

se entspricht das Verhältnis der gemessenen Hysteresewerte ΔVFB,1/ΔVFB,2=3/1, das dem Ver-hältnis der Änderung des Ladungszustands der Einfangstellen entspricht. Nach Gleichung 2.44entspricht die Dichte der umladbaren Zustände im Volumen und damit die Dichte der Sauer-stofffehlstellen Not=5,2·1012 cm−2.

142 5.4 Bauelemente mit HfO2-Dielektrika aus einem ALD-Prozess

Page 21: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

5.4.3 Strom-Spannungs-Verhalten

Abbildung 5.19a zeigt die Strom-Spannungs-Charakteristik von 100 MOS-Kondensatoren miteinem HfO2-Dielektrikum der Dicke dHfO2

=3,3 nm (C ET=1,21 nm) sowie einer W-Ti-Elektrodemit einer Flache von 2500 μm2. Neben der Gate-Spannung VG ist die elektrische Feldstärke überdem Dielektrikum Eox aufgetragen, die nach Gleichung 2.7 sowohl von der Dicke des Dielek-trikums dHfO2

, der Gate-Spannung VG sowie der im vorherigen Kapitel bestimmten Flachband-spannung VFB abhängig ist. Da die Flachbandspannung nicht wie im Fall eines idealen MOS-Kondensators VFB =0 V beträgt, ist das Dielektrikum erst bei einer Gate-Spannung VG=VFB feld-frei.

��� � �� � �� ������

�����

�����

����

���

����

����

����

���

����

����

����������$ ��"

������������������

������������������������� !��"�"�#!�$%&

'��()���*��

+,'-���.!/

����������������)01���� �012� 01

����

����

����

���

����

����

���

��

���

���������� �����! ��"

���#�

��� ��� ��� ��� ��� �� �5��-���+���+ �� 5

�4�)�����

(a) Strom-Spannungs-Kennlinien (Datenbasis: 100 zu-fällig ausgewählte Bauelemente)

��� � �� � �� ������

�����

�����

����

���

����

����

����

���

����

����

���� ����

��

���

���

��

��:!

��5

�4;

:5�4;�/������ 3�

����4��#����

5��� #6

Gat

e-St

rom

I G [A

]

Gate-Spannung VG [V]

3�����4��#�����(�)���� #6

��� ��� ��� ��� ��� �� �

5��-���+���+ �� 5�4�)�����

(b) Strom-Spannungs-Charakteristik und die darausresultierende Poole-Frenkel-Darstellung, die ei-ne Poole-Frenkel-Stromleitung im hervorgehobenenSpannungsbereich belegt.

Abbildung 5.19: Strom-Spannungs-Charakteristiken von MOS-Kondensatoren mit HfO2-Dielektrikum der

Dicke dox=3,3 nm (C ET=1,21 nm), W-Ti-Elektrode und Fläche A=2500 μm2.

Die Leckstromdichte bei einer Referenzspannung von VG=-1,5 V beträgt bei den besten Bauele-menten JG=7·10−4 A/cm2. Die Werte der Leckstromdichte weisen für Spannungswerte VG<VBD ei-ne starke Streuung auf. Der Stromleitmechanismus wurde anhand der Linearität der in Ab-bildung 5.19b gezeigten Kennlinie in der ln(JG/Eox) vs. (Eox)

−1-Darstellung als Poole-Frenkel-Leitung für den markierten Bereich der Strom-Spannungs-Kennlinie identifiziert. Dieses Ergeb-nis steht in Zusammenhang mit der starken Streuung der Werte der Stromdichte, da die Poole-Frenkel-Leitung ein von Defekten im Volumen des Dielektrikums abhängiger Stromleitmecha-nismus ist. Die Defektdichte ist neben der intrinsischen Verteilung von den Belastungen im Be-trieb abhängig. Für Spannungswerte VG größer dem markierten Bereich weisen die Bauelementeeinen direkten Metall-Halbleiter-Kontakt bedingt durch den harten dielektrischen Durchbruchbei VG=VBD auf. Im Spannungsbereich kleiner der Poole-Frenkel-Leitung ist die die notwendi-ge Energie der Ladungsträger zur Überwindung der Barriere nicht gegeben. Für eine genaueBestimmung des Stromleitungsmechanismus ist jedoch das Messsignal zu verrauscht für einequalifizierte Aussage. Ein Einfluss der im vorherigen Kapitel beschriebenen Umladung von Zu-ständen während einer Spannungsrampe aus dem Inversions- in den Akkumulationsbereich isteiner Abschätzung nach vernachlässigbar. Unter der idealen Annahme, dass alle Defekte im Vo-

Kapitel 5. Elektrische Charakterisierung 143

Page 22: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

lumen gleichzeitig Elektronen in das Si-Substrat emittieren, ergibt sich rechnerisch eine Strom-dichte Jot=1,9·10−9 A/cm2. Dieser Wert spielt nur bei der Messung von Kapazität-Spannungs-Charakteristiken eine Rolle, da diese Methode auf der Messung differentieller Stromänderungenberuht.

Die mittlere Durchbruchsspannung wurde anhand der Rampen-I-V-Methode nach Kapitel 2.2.4auf einer Datenbasis von 468 Bauelementen mit VBD=-2,76 V ermittelt, was einer elektrischenDurchbruchspannung von Eox,BD=-8,36 MV/cm entspricht. Abbildung 5.20 zeigt die Verteilungder Durchbruchsspannung. Eine automatisierte Trennung der Daten in Bereiche des harten undweichen dielektrischen Durchbruchs sowie des stressinduzierten Leckstroms ist mit der gegebe-nen messtechnischen Ausstattung nicht möglich. Die durchgeführten Messungen beruhen aufder Detektion eines bestimmten Stromniveaus bei Durchfahren einer Spannungsrampe für Bau-elemente, die in einem Vortest nicht als defekt aussortiert worden sind.

����� ����� ����� ����� ����� ����� ������

��

��

���

�<+�����.��&��-����

'����<����++��������='���

��

��

��

���

-���������.��&��-����>�

��� ��� �� � 5��-���+���+ �� 5

�4�)�����

Abbildung 5.20: Verteilung der nach der Rampen-I-V-Methode bestimmten Durchbruchsspannung VBD von

MOS-Kondensatoren mit HfO2-Dielektrikum der Dicke dox=3,3 nm (C ET=1,21 nm) und W-

Ti-Elektrode.

Die Ergebnisse der Messungen zum zeitabhängigen Durchbruchsverhalten nach der in Kapitel2.2.4 ausführlich beschriebenen Methodik sind in Abbildung 5.21a gezeigt. Die StressspannungVG=VG,Stress wurde so gewählt, dass die Dauer bis zu einem dielektrischen Durchbruch den Zeit-raum von t<10.000 s (2,7 Stunden) nicht überschreitet, da pro Test mit der gegebenen Infra-struktur jeweils nur 4 Bauelemente untersucht werden können und der Test erst mit dem Ausfalldes vierten Bauelementes endet. Ausfälle zum Zeitpunkt t=0, d.h. Bauelemente, die durch be-reits vor der Messung einen extrinsischen Defekt mit hohem Stromdichte aufweisen und einenVortest nicht bestanden haben, sind nicht in der Weibull-Darstellung berücksichtigt.

Die Weibull-Darstellung der ermittelten Zeiten bis zum dielektrischen Durchbruch tBD zeigtKennlinien für die verschiedenen Stressspannungen mit einer Steigung β<0,51, was auf ei-ne Dominanz der extrinsischen Ausfallmechanismen hindeutet. Auffällig ist eine Zunahme vonβ mit abnehmender Stressspannung VStress. Da laut Annahme allen Bauelementen der gleicheAusfallmechanismus zugrunde liegt, sollte der Wert β und damit die Steigung der Kennlinienfür verschiedene Stressspannungen gleich bleiben. Die Abhängigkeit von der Stressspannung

144 5.4 Bauelemente mit HfO2-Dielektrika aus einem ALD-Prozess

Page 23: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

� �� ��� ��� ����

��� ����

� ��

����������������� ������ �

��� ��

�� ������ �

��� ��

�� ������ �

��� ���

������������

�� ��� ��� ����������� ���������� ������

���

���������

!�����"#$

(a)Weibull-Darstellung der Zeit bis zum dielektrischenDurchbruch tBD bei verschiedenen StressspannungenVG,Stress

����� ����� ����� ����� ����� ����� ����� ��������

���

���

��

���

���

���

��

���

����-���9?�<��+ �����

@7>�+�

������������������

���%&'()

*&+,*&-)��).(,)/001&22324�56

�� �� �� � ��5��-���+��� �� +���-�5

�4�)�����

(b) Lineare Extrapolation der maximalen Betriebsspan-nung VG für eine charakteristische Lebensdauer t63%von Jahren.

Abbildung 5.21: Weibull-Darstellung der Messergebnisse zum zeitabhängigen dielektrischen Durchbruch

sowie Extrapolation der maximalen Betriebsspannung VG von MOS-Kondensatoren mit

HfO2-Dielektrikum,W-Ti-Elektrode und Fläche A=2500 μm2.

lässt sich jedoch anhand des Durchbruchmechanismus erklären. Der Annahme des „schwäch-sten Glieds“ der Weibull-Verteilung folgend, können nur harte dielektrische Durchbrüche miteiner Weibull-Verteilung beschrieben werden [206]. Der weiche dielektrische Durchbruch mitder Ausbildung mehrerer, paralleler Perkolationspfade genügt nicht dieser Annahme, da nichteinem einzigen Perkolationspfad als schwächstes Glied der Kette der Ausfall des Bauelementszugerechnet werden kann. Die Ausbildung der Perkolationspfade ist abhängig vom elektrischenFeld im Dielektrikum Eox, das wiederum von der Stressspannung abhängig ist. Mit steigenderStressspannung nimmt die Dichte der Perkolationspfade zu, was zu einer niedrigeren Steigungder Kennlinie in der Weibull-Darstellung führt. Wie in Abbildung 5.19a gezeigt, sind in derStrom-Spannungs-Kennlinie der untersuchten Bauelemente nicht ausschließlich harte dielektri-sche Durchbrüche feststellbar, sondern auch weiche dielektrische Durchbrüche sowie eine Zu-nahme des Strompegels durch stressinduzierten Leckstrom (SILC) ohne erkennbaren Durch-bruch. Die gegebene technische Infrastruktur ermöglicht jedoch keine automatisierte Filterungder Daten bis zum dielektrischen Durchbruch tBD für harte dielektrische Durchbrüche aus denGesamtdaten.

Der Weibull-Darstellung wurden die charakteristischen Lebenszeiten t63% für die verschiede-nen Stressspannungen entnommen und in die t63% vs. VG-Darstellung aus Abbildung 5.21bübertragen. Aus dieser kann für eine beliebige charakteristische Lebenszeit t63% die zugehö-rige maximale Betriebsspannung VG extrapoliert werden. Diese beträgt für die untersuchtenMOS-Kondensatoren mit HfO2-Dielektrikum und W-Ti-Elektrode VG,MAX=-1,94 V bei einer an-genommenen charakteristischen Lebensdauer von 10 Jahren. Nach diesem Zeitraum entsprichtbei der bestimmten Belastung die relative Zahl der durch einen dielektrischen Durchbruch aus-gefallenen Bauelemente einemWert von 63 %. Die maximale elektrische Feldbelastung für diesecharakteristische Lebenszeit beträgt Eox,MAX=-7,06 MV/cm.

Kapitel 5. Elektrische Charakterisierung 145

Page 24: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

5.4.4 Hochtemperaturstabilität

Hochtemperaturstabilität ist insbesondere für eine Gate-First-Prozessintegration von High-k-Dielektrika eine der wichtigsten Metarialeigenschaften, da das High-k-Dielektrikum in CMOS-Prozessen beispielsweise bei der elektrischen Aktivierung Implantationsgebiete einer Tempe-ratur von T>900 °C ausgesetzt werden muss. Der folgende Abschnitt beschreibt beobachteteMaterialdegeneration von HfO2-Schichten in Abhängigkeit der Temperatur.

Abbildung 5.22 zeigt mit einem Rasterkraftmikroskop (C-AFM) ermittelte nanoskalige Strom-Charakteristiken von HfO2-Schichten, die bei verschiedenen Temperaturen für jeweils 30 Sekun-den in N2-Atmosphäre getempert wurden. Um einen Einfluss von Restsauerstoff auszuschließen,wurde die Kammer der Kurzzeittemperanlage vor dem Prozess bei Raumtemperatur ausreichendmit Stickstoff gespült.

�������������� ����������

��� ��������������� ����� ��!���

Abbildung 5.22: Nanoskalige Strom-Charakteristiken (TUNA-Strom) von HfO2-Schichten nach Kurzzeittem-

perung in N2-Atmosphäre (t=30 s). Die Aufnahmen wurden mit einem Rasterkraftmikro-

skop aufgenommen, die konstante Vorspannung der elektrisch leitenden Messspitze be-

trug VBias=-8,5 V.

Nach einer Temperung bei einer Temperatur von T=500 °C ist keine Veränderung im Strombildim Vergleich zur ungetemperten Probe in Abbildung 5.24 zu erkennen. Nach Temperung beiT=650 °C kommt es zu einer Materialveränderung und zur Ausbildung von Stellen hoher Leit-fähigkeit in der HfO2-Schicht, deren Fläche und Zahl mit steigender Temperatur (T=800 °C)weiter zunimmt. Eine Temperung bei T=1000 °C, wie bei der Aktivierung von implantiertenDotierstoffatomen üblich, führt neben der Ausbildung punktueller Strompfade zu einer generel-len Zunahme der Leitfähigkeit der gesamten Schicht.

Abbildung 5.23 zeigt Kapazität-Spannungs- und Strom-Spannungs-Charakteristiken von MOS-Kondensatoren mit HfO2-Dielektrikum vor und nach einer Temperung bei T=1000 °C. DieLeckstromdichte ist durch die Temperung um den Faktor 10−100 auf ca. JG=10 A/cm2 ge-stiegen, was durch den stetigen Anstieg der Kapazität-Spannungs-Kurve im Inversionsbereichbestätigt wird. Die Ursache für den Anstieg der Leitfähigkeit liegt in einer Umwandlung derursprünglich amorphen in eine polykristalline Schicht. Hochauflösende transmissionselektro-nenmikroskopische Aufnahmen eines vergleichbaren Materialsystems von GU ET AL. [207] be-stätigen diese Annahme. Die Kapazität CG in Betriebsbereich der Akkumulation steigt durch eine

146 5.4 Bauelemente mit HfO2-Dielektrika aus einem ALD-Prozess

Page 25: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

Temperung bei T=1000 °C, was auf eine Reaktion des Dielektrikums mit der W-Ti-Elektrode undmit dem Si-Substrat und der damit verbundene Verringerung der Schichtdicke der HfO2-Schichthindeutet. Nach PARK ET AL. kommt es im Bereich der Grenzfläche zum Si-Substrat zu einerAusbildung von leitenden Hf- und Si-reichen Hafniumsilikatschichten mit einer kompositions-abhängigen relativen Permittivität von εHfxSi1−xO2

=5,6 - 9,3 [208].

���� ���� ���� ��� ��� ��� ��� ����

��

��

���

���

��

���

� �

���

���������

. �� �

������������������

������������������������������� �������������������

�2&7'�8�9 �:.,;,)(324��;<(�8�9 �:.,;,)(324��&0�=)1��

����������$ ��"

Abbildung 5.23: Vergleichende Kapazität-Spannungs- und Strom-Spannungs-Charakteristiken von pMOS-

Kondensatoren mit HfO2-Dielektrikum, W-Ti-Elektrode und Fläche A=2500 μm2 vor und

nach einem Hochtemperaturprozess (t=30 s, N2-Atmosphäre, T=1000 °C).

Die vormals guten dielektrischen Eigenschaften der HfO2-Schicht wurden durch den in einemGate-First-Prozessablauf typischen Hochtemperaturschritt deutlich verschlechtert. Die Integrati-on dieses Materials in einem Gate-Last-Prozess erscheint somit sinnvoll.

Neben der beschriebenen Degradation der Materialeigenschaften von HfO2-Schichten durcheinen Hochtemperaturprozess zeigten auch die W-Ti-Elektroden der getemperten Bauelementestrukturelle Veränderungen. Trotz der Spülung der Prozesskammer mit dem Inertgas N2 führteder Temperung der untersuchten MOS-Kondensatoren bei T=1000 °C zu einer nichtleitendenWOx auf der Oberfläche der Elektroden. Bei der manuellen Messung der Strom-Spannungs- undKapazität-Spannungs-Charakteristiken aus Abbildung 5.23 wurde diese weiche Schicht durchdie mechanische Belastung der W-Messspitze aufgetrennt und somit ein elektrischer Kontaktzur Gate-Elektrode hergestellt. Bei der Herstellung von MOS-Transistoren blieb WOx-Schichtauf der Gate-Elektrode bei der Öffnung der Kontaktlöcher unversehrt, so dass keine elektrischeKontaktierung der Gate-Elektrode bei diesen Bauelementen möglich ist. Der für die Oxidationder W-Ti-Schicht notwendige Sauerstoff entstammt nicht der Prozesskammer, sondern ist in derW-Ti-Schicht nach Kontakt mit dem Luftsauerstoff enthalten [209].

5.5 Bauelemente mit ZrO2-Dielektrika aus einem ALD-Verfahren

Zirkonium ist wie Hafnium im Periodensystem der Elemente in die Titangruppe eingeordnet.Durch die chemische Verwandtschaft der beiden Elemente ergeben sich für die Oxide der bei-

Kapitel 5. Elektrische Charakterisierung 147

Page 26: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

den Elemente ähnliche dielektrische Eigenschaften wie Permittivität εr, Größe der BandlückeEg und Abstand der Bandkanten zum Leitungs- und Valenzband von Silizium ΔEC,V. AmorpheZirkonoxid-Schichten (ZrO2) wurden im Rahmen einer Forschungskooperation mit dem AppliedResearch Center Newport News (Virginia, USA) untersucht.

5.5.1 Physikalische und elektrische Schichteigenschaften

In der Literatur wird für ZrO2-Schichten ein Bandabstand Eg=5,8 eV und ein Abstand zum Lei-tungsband von Silizium ΔEC,V=1,5 eV berichtet [210]. Die untersuchten ZrO2-Schichten wei-sen eine physikalische Dicke von dZrO2

=3,3 nm auf. Der Abscheideprozess entspricht in allenParametern wie Abscheiderate und -temperatur sowie der verwendeten ALD-Anlage dem imvorherigen Kapitel vorgestellten ALD-Prozess für HfO2-Schichten. Als Prekursor wurde TDMAZ(Tetrakisdimethylamidozirkonium, Zr(NMe2)4) verwendet. Von der Oberfläche der Si-Substratewurde vor dem Abscheideprozess die nativ gewachsene SiO2-Schicht nasschemisch entfernt.

Abbildung 5.24 zeigt eine rasterkraftmikroskopische Aufnahmen der Oberfläche einer auf ei-nem planaren Si-Substrat abgeschiedenen ZrO2-Schicht.

���

���

���

��

��

���������������������������������������������

���

���

"�#������$ ����

%�#������$

����

&'�

����

(a) Darstellung der Oberflächentopographie.

���

���

���

��

��

���������������������������������������������

���

����

"�#������$ ����

%�#������$

����

����� ��!�

�(��

(b) Darstellung des Stromfluss durch die ZrO2-Schichtbei einer Vorspannung VBias=-8,5 V.

Abbildung 5.24: Rasterkraftmikroskopische Aufnahmen der Topographie (AFM) und des Stromflusses bei

konstanter Vorspannung (C-AFM) einer in einem ALD-Verfahren auf einem p-Si-Substrat

abgeschiedenen ZrO2-Schicht der Dicke dZrO2=3,3 nm.

Die mittlere Rauheit des untersuchten Oberflächenausschnitts beträgt RMS=0,259 nm. Die elek-trische Charakterisierung der Oberfläche bei einer konstanten Vorspannung der Messspitze vonVBias=-8,5 V zeigt keine lokalen Überhöhungen des Stromflusses durch das Dielektrikum in Formvon Fremdeinschlüssen oder offenen Poren.

Bei der Herstellung von MOS-Transistoren mit einer W-Elektrode in einem Gate-Last-Verfahrenzeigte sich eine Prozessinkompatibilität der ZrO2-Schicht mit W-Schichten aus Aufampfverfah-ren und Hochfrequenz-Kathodenzerstäuben. Die thermische Belastung durch die prozessbeding-te Aufheizung der Substrate während der Abscheidung führt zu einer Reaktion der ZrO2-Schichtmit der Metall-Schicht unter Ausbildung einer W-Zr-O-Verbindung, die resistent gegenüber dem

148 5.5 Bauelemente mit ZrO2-Dielektrika aus einem ALD-Verfahren

Page 27: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

chemisch-mechanischen Planarisierungsprozess für W-Schichten und damit gegenüber dem inKapitel 3.8.2 beschriebenen Oxidationsprozess auf Basis einer Ferricyanid-Verbindung ist. Somitist die für den Planarisierungsprozess notwendige Umwandlung einer harten in eine weiche po-lierbare Schicht nicht mehr möglich. Welcher Anteil der Gesamtdicke der ZrO2-Schicht von die-ser Reaktion betroffen ist lässt sich nur mit aufwändigeren Analysemethoden wie Sekundärio-nenmassenspektrometrie oder Transmissionselektronenmikroskopie zweifelsfrei klären. Die inden folgenden Abschnitten vorgestellten MOS-Kondensatoren mit einer W-Ti-Elektrode zeigendie Veränderungen der ZrO2-Schicht nicht in diesem Ausmaß, da die Prozesszeit bei der Ab-scheidung der W-Ti-Schicht in einem Gleichspannungs-Sputterverfahren im Vergleich zu einemHochfrequenz-Sputterverfahren deutlich kürzer ist.

5.5.2 Kapazität-Spannungs-Verhalten

In Abbildung 5.25a ist das Kapazität-Spannungsverhalten von pMOS-Kondensatoren mit W-Ti-Elektrode und ZrO2-Dielektrikum gezeigt. Die untersuchten Bauelemente zeigen eine schwacheFrequenzdispersion im Übergang vom Inversions- in den Akkumulationsbereich.

���� ���� ���� ����

��

��

��

��

��

��

���� ���� ��� ���� �����

��

��

>�����:?@

���

�����

>����:?@

���������

���

������������������

���

���

���

���

���

���

����������

�� ������

��� ���

� �

(a) Frequenzabhängigkeit. Einsatz: Bestimmung derGrenzflächenzustandsdichte Dit

� � � � � � � �� �� ��

����

����

���

���

���

���������

ΔΔ ΔΔ � =.����AB�-�

�++�����

)�++�8-��+C

� ����� ����

����

����

.8+����+�'����D������

(b) Hysterese und Verschiebung der Kapazität-Spannungs-Kurve in Abhängigkeit des Messzyklen-zahl

Abbildung 5.25: Kapazität-Spannungs-Charakteristik von pMOS-Kondensatoren mit ZrO2- Dielektrikum

der Dicke dZrO2=3,3 nm, W-Ti-Elektrode und Fläche A=2500 μm2.

Die Oxidkapazität wurde aufgrund des kontinuierlichen Anstiegs der Kapazitäts-Spannung-Kurveim Betriebsbereich der Akkumulation nach KAR mit Cox=64,8 pF bestimmt, was einem Kapa-zitätsbelag von C ′G= 2,59μF/cm2 entspricht. Daraus resultiert eine relative Permittivität desgesamten Gate-Stapels von εr=9,67 und eine kapazitätsäquivalente Dicke des Gate-StapelsC ET=1,33 nm. In der Literatur werden für ZrO2-Schichten verschiedenste Werte der Permitti-vität mit εr=15 [211], εr=16−17 [210] oder εr=22 [212] berichtet. Ähnlich der untersuchtenHfO2-Schichten ist auch in hier die Ausbildung einer Schicht niedrigerer Permittivität zwischenZrO2-Schicht und Si-Substrat am wahrscheinlichsten, die zu einer reduzierten Permittivität desgesamten Gate-Stapels führt. YAMAGUCHI ET AL. haben die Bildung einer silikatischen Zwischen-schicht mit einer relativen dielektrischen Leitfähigkeit von εr=8−9 an einem vergleichbaren Ma-

Kapitel 5. Elektrische Charakterisierung 149

Page 28: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

terialsystem nachgewiesen [210]. Eine exakte Aussage über Dicke und Zusammensetzung derhier vorliegenden Zwischenschicht kann jedoch nur mit aufwändigeren Untersuchungsmetho-den wie hochauflösender Transmissionselektronenmikroskopie oder Sekundärionenmassenspe-trometrie getroffen werden. Die Dichte der Grenzflächenzustände wurde nach der Leitwertme-thode mit Dit=5,3·1011 cm−2eV−1 bestimmt. Die Zeitkonstante der Grenzflächenzustände ent-spricht τit=0,8 μs. Die Kapazität-Spannungs-Charakteristiken weisen wie in Abbildung 5.25bgezeigt bei mehrfacher Messung eines Bauelements eine kontinuierliche Linksverschiebung undeine Hysterese vonΔVFB =50 mV bei Messung aus dem Inversions- in den Akkumulationsbereichund wieder zurück. Die Linksverschiebung ist für die erste Messung am stärksten ausgeprägt undsättigt sich nach ca. 15 Messung ab. Die Hysterese erreicht eine minimale Ausprägung nach ca. 4Messungen. Die Flachbandspannung beträgt nach der 4. Messung einem Wert VFB =-0,58 V. Auf-grund der Ähnlichkeit dieses Verhaltens im Vergleich zu den untersuchten MOS-Kondensatorenmit HfO2-Dielektrikum aus Kapitel 5.4 kann hier der gleiche Einfang- und Emissionsmechanis-mus von Elektronen an Sauerstofffehlstellen angenommen werden. Nach Gleichung 2.44 er-rechnet sich die Dichte der umladbaren Zuständen im Volumen der ZrO2-Schicht aus dem Wertder Hysterese mit Not=3,4·1012 cm−2.

5.5.3 Strom-Spannungs-Verhalten

Abbildung 5.26 zeigt das Strom-Spannungs-Verhalten von pMOS-Kondensatoren mit ZrO2-Dielek-trikum und W-Ti-Elektrode im Betriebsbereich der Akkumulation. Neben der Gate-SpannungVG ist die elektrische Feldstärke über dem Dielektrikum Eox aufgetragen, die nach Gleichung 2.7sowohl von der Dicke des Dielektrikums dox, der Gate-Spannung VG sowie der im vorherigenKapitel bestimmten Flachbandspannung VFB abhängig ist. Da die Flachbandspannung nicht wieim Fall eines idealen MOS-Kondensators VFB =0 V beträgt, ist das Dielektrikum erst bei einerGate-Spannung VG=VFB feldfrei.

�� �� �� �� �� ������

�����

�����

����

����

����

����

����

����

����

���������� �

��

��������������� ��

������ !�"#$%&�'���������(�"#���#��#���

����

����

����

����

����

���

��

��

���

��� )�#*+,--#.�/

������������

���� �

���

� �

��� ��� �� �� �� �� �������������� �����

! "����

(a) Strom-Spannungs-Charakteristik.

����� ����� ����� ����

������� ��������������������

!�5� �4

�"��

� �

/�5�4����)��

0 �1��23 ��4�3�03�453�4

(b) ln(J/E2ox) vs. 1/Eox-Darstellung zur Bestimmung des

Stromleitungsmechanismus.

Abbildung 5.26: Strom-Spannungs-Charakteristik von pMOS-Kondensatoren mit ZrO2-Dielektrikum der

Dicke dox=3,3 nm, W-Ti-Elektrode und Fläche A=2500 μm2.

Die Leckstromdichte bei einer Referenzspannung von VG=-1,5 V wurde für Bauelemente ohneextrinsische Defekte mit JG=5·10−2 A/cm2 bestimmt. Der Stromverlauf zeigt in der ln(J/E2

ox) vs.

150 5.5 Bauelemente mit ZrO2-Dielektrika aus einem ALD-Verfahren

Page 29: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

1/Eox-Darstellung aus Abbildung 5.26b einen linearen Verlauf, so dass Fowler-Nordheim- bzw.direktes Tunneln als Leitungsmechanismus der 3,3 nm dünnen ZrO2-Schicht in Frage kommt. Dakeine Einsatzspannung des Fowler-Nordheim-Tunnelprozesses erkennbar ist und die Stromdich-te JG bei einer elektrischen Feldstärke von Eox=8 MV/cm der Stromdichte einer SiO2-Schichtgleicher Dicke und Feldbelastung entspricht, ist das direkte Tunneln der dominante Stromlei-tungsmechanismus der untersuchten Proben mit ZrO2-Dielektrikum. Aufgrund der doppelt sohohen Dichte umladbarer Zustände im Volumen zeigten HfO2-Schichten der gleichen physikali-schen Dicke wie im vorherigen Kapitel gezeigt einen auf Fehlstellen basierenden Poole-Frenkel-Leitungsmechanismus.

Eine Verteilung der nach dem Rampen-I-V-Verfahren experimentell bestimmten Durchbruchs-spannung VBD ist in Abbildung 5.27 gezeigt.

�� �� �� �� �� ���

��

��

�#�

�����$%�

&����

����

'����#��������������� ��

������������� ��������

��������������

����������������� ����

����� �!�!�6�����

��� ��� ��� ��� ��� �� ��������������� �����

! "����

Abbildung 5.27: Verteilung der dielektrischen Durchbruchsspannung VBD und der elektrischen Durch-

bruchsfeldstärke Eox,BD von MOS-Kondensatoren mit ZrO2-Dielektrikum der Dicke

dZrO2=3,3 nm, W-Ti-Elektrode und Fläche A=2500 μm2, bestimmt nach der Rampen-I-V-

Methode.

Die mittlere Durchbruchsspannung wurde mit VBD=-3,44 V bestimmt, was einer mittleren elek-trischen Durchbruchsfeldstärke von Eox,BD=12 MV/cm entspricht. Die Verteilung weist scheinbarzwei Maxima bei VBD=-2,9 und VBD=-3,5V auf, die auf einen zu niedrigen Probenumfang zu-rückgeführt werden kann.

In Abbildung 5.28a sind die aus Messungen zum zeitabhängigen dielektrischen Durchbruchabgeleiteten charakteristischen Lebensdauern von MOS-Kondensatoren mit ZrO2-Dielektrikumund W-Ti-Elektrode in der Weibull-Darstellung gezeigt. Die Kennlinien der Weibull-Darstellungfür verschiedene StressspannungenVG,Stress weisen eine Steigung β<0,5 auf, was eine Dominanzder extrinsischen Ausfallmechanismen zeigt. Da bei diesen Proben im Gegensatz zu den MOS-Kondensatoren mit HfO2-Dielektrikum aus Kapitel 5.4 keine weichen dielektrischen Durchbrücheauftreten, zeigen die Steigungen der Kennlinien alle einen vergleichbaren Wert für β , deren Ab-

Kapitel 5. Elektrische Charakterisierung 151

Page 30: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

weichung im Rahmen der Messungenauigkeit liegen. Die Annahme des schwächsten Glieds derWeibull-Verteilungsfunktion ist somit erfüllt.

��� ���� ����� ���

��

��

��

�� ����

� ����

����������������� �����

�� �����

�� �����

��(���()� **

+����#���,�����������������'����#������-'

��

� ���

� ��� ��

� ����� !/

(a)Weibull-Darstellung der Durchbruchszeit t63% fürverschiedene Stressspannungen VG,Stress.

����� ����� ����� ����� ����� ����� ����� ��������

���

���

��

���

���

���

��

���

����-�9?�<��+ �����

@7>�+�

������������������

���7843�

�89 �81��:�23 �5��;8��<=

�� �� �� � ��5��-���+��� �� +���-�5

�4�)�����

(b) Extrapolation der charakteristischen Lebensdauert63%.

Abbildung 5.28: Weibull-Darstellung der ermittelten Zeiten bis zum dielektrischen Durchbruch tBD so-

wie Extrapolation der charakteristischen Lebensdauer t63% von pMOS-Kondensatoren mit

ZrO2-Dielektrikum, W-Ti-Elektrode und Fläche A=2500 μm2.

Der Weibull-Darstellung wurden die charakteristischen Lebenszeiten t63% für die verschiede-nen Stressspannungen entnommen und in die t63% vs. VG-Darstellung aus Abbildung 5.28bübertragen. Aus dieser kann für eine beliebige charakteristische Lebenszeit t63% die zugehörigemaximale Betriebsspannung VG extrapoliert werden. Diese beträgt für die untersuchten MOS-Kondensatoren mit ZrO2-Dielektrikum und W-Ti-Elektrode VG,MAX=-1,88 V bei einer angenom-menen charakteristischen Lebensdauer von 10 Jahren. Die maximale elektrische Feldbelastungentspricht rechnerisch Eox,MAX=-7,45 MV/cm.

5.5.4 Hochtemperaturstabilität

Die Hochtemperaturstabilität wurde an ZrO2-Schichten der Dicke dZrO2=3,3 nm auf planaren

p-Si-Substraten untersucht, indem mittels Kurzzeittemperung für t=30 s in N2-Atmosphäredie in einem Gate-First-Prozess auftretenden Temperaturbelastungen nachgebildet wurden. ImTemperaturbereich bis T=850 °C zeigen die Proben keine Änderung der nanoskaligen Strom-Charaktersistik. Nach Temperung bei T=1000°C kommt es zu einer deutlichen Ausbildung vonhochleitenden Inseln in der ZrO2-Schicht wie in Abbildung 5.29 gezeigt. Auch hier erscheint eineUmwandlung der amorphen ZrO2-Schicht in eine polykristalline Schicht am wahrscheinlichsten,entlang der Korngrenzen findet dann bevorzugt der Ladungstransport durch das Dielektrikumstatt. Somit weisen ZrO2-Schichten keine für einen Gate-First-Prozess ausreichende Hochtempe-raturstabilität auf.

152 5.5 Bauelemente mit ZrO2-Dielektrika aus einem ALD-Verfahren

Page 31: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

���

���

���

��

��

���������������������������������������������

���

��

��������� ��

��������

� ��

����������

� ���

Abbildung 5.29: Rasterkraftmikroskopische Aufnahme einer ZrO2-Schicht nach Temperung bei T=1000 °Cfür t=30 s. Deutlich sind Inseln hoher Leitfähigkeit zu erkennen.

5.6 Bauelemente mit La2O3-Dielektrika aus einem MBE-Prozess

Lanthanoxid (La2O3) ist wie Gadoliniumoxid der Gruppe der Seltenerd-Oxide zuzuordnen. Inder Literatur wird von einem Bandlücke von Egap=5,5−6,0 eV sowie einem Bandabstand zumSi-Leitungsband von ΔEC=2,3 eV berichtet [23][57]. Gegenstand aktueller Forschung ist insbe-sondere die Verwendung von dünnen La2O3-Schichten zur Einstellung der Flachbandspannungvon MOS-Bauelementen mit TiN-Elektrode [213]. La2O3 kann unter idealen Bedingungen ohneAusbildung einer silikatischen Zwischenschicht abgeschieden bzw. aufgewachsen werden, waseine Anwendung als Dielektrikum der zweiten Generation zur Überwindung der EOT-Grenzevon 0,5 nm ermöglicht [214].

5.6.1 Physikalische und elektrische Schichteigenschaften

Im Rahmen dieser Arbeit wurde der Einsatz von La2O3 als Dielektrikum MOS-Bauelementenuntersucht, die in einem Gate-Last-Verfahren gefertigt wurden. Die La2O3-Schichten wurdenim Rahmen einer Forschungskooperation am Department of Materials Science and Engineeringder North Carolina State University in Raleigh (Virginia, USA) in einer Molekularstahlepitaxie-Anlange (MBE) vom Typ DCA S800 in Dicken von dLa2O3

=2,5 nm und dLa2O3=4,0 nm bei Sub-

strattemperaturen von Tdep=300 °C und Tdep=400 °C gewachsen.

Epitaxie beschreibt allgemein das geordnete Aufwachsen einer kristallinen Schicht auf einemkristallinen Substrat, das die Ordnung und die Struktur der aufgewachsenen Schicht vorgibt.In einem Homoepitaxieprozess bestehen Substrat und Schicht aus dem gleichen Material (bei-spielsweise bei der Siliziumepitaxie), andernfalls wie bei den in Rahmen dieser Arbeit ange-wendeten Prozess von Heteroepitaxie. Molekularstrahlepitaxie (engl. Molecular Beam Epitaxie,MBE) ist ein Epitaxieverfahren, bei der die kristalline Schicht durch Reaktion von des Teilchen-stroms aus Atomen oder Molekülen der zu wachsenden Schicht und der Substratoberflächeentsteht. Der Name dieses Verfahrens leitet sich also aus der molekularen Strömung der Teil-chen und nicht aus deren Art ab. Durch die Ultrahochvakuumumgebung im Druckbereich der

Kapitel 5. Elektrische Charakterisierung 153

Page 32: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

Größenordnung von p=10−10 mbar finden der MBE-Prozess im Gegensatz zum Aufdampfpro-zess unter Bedingungen statt, die weit entfernt vom thermodynamischen Gleichgewicht liegenund hauptsächlich durch die Kinetik der Prozesse bestimmt ist, die bei der Reaktion der auf-treffenden Teilchen mit den obersten Atomlagen auftreten. Eine ausführliche Beschreibung derGrundlagen des MBE-Prozesses ist in [121] zu finden. Das Quellenmaterial wird in einer MBE-Anlage wie beim Aufdampfverfahren von einem Elektronenstrahl bis zur Verdampfung erhitzt,was dem heutigen Stand der Technik entspricht. Ultra-Hochtemperatur-Effusionszellen stehenerst am Anfang der Entwicklung. Erste kommerziell erhältliche Prototypen wurden kürzlicherst vorgestellt [215]. Die Effusionszellen bieten gegenüber den bisher verwendeten Elektro-nenstrahlverdampfern den Vorteil einer homogene Erwärmung des Quellmaterials, was einebessere Reinheit und bessere Reproduzierbarkeit der Stöchiometrie verspricht. Ein Problem beiextrem hohen Temperaturen, die zur direkten Sublimation der Seltenerden-Oxide Gd2O3 undLa2O3 notwendig sind, ist allerdings eine mögliche Kontamination der abgeschiedenen Schich-ten durch Verunreinigungen aus der Effusionszelle [216].

Die im Rahmen dieser Arbeit aufgewachsenen La2O3-Schichten sind durch Verdampfung einesgranularen Quellenmaterials hergestellt worden. La2O3-Schichten konnten bereits von LICHTEN-WALNER ET AL. epitaktisch auf Galliumnitrid (GaN) mit einer Substrattemperatur von Tdep=400 °Cgewachsen werden [217]. Ob die vorliegenden Schichten auf Si-Substraten ebenfalls epitaktischvorliegen kann nur durch hochauflösende Analysemethoden wie hochauflösende Transmissi-onselektronenmikroskopie geklärt werden. La2O3 ist stark hydroskopisch und damit nicht stabilunter Atmosphärenbedingungen [218]. Daher wurden die La2O3-Schichten nach dem Aufwach-sprozess in-situ mit einer Wolfram-Schicht versiegelt, die gleichzeitig als Elektrodenmaterialdient. Eine Untersuchung der nanoskaligen elektrischen Schichteigenschaften einem Raster-kraftmikroskop unter Atmosphärenbedingungen ist aufgrund dieser Instabilität nicht möglich.

5.6.2 Kapazität-Spannungs-Verhalten

In Abbildung 5.30a ist die Kapazität-Spannungs-Charakteristik eines pMOS-Kondensators mitW-Elektrode und La2O3-Dielektrikum, das mit einer Dicke dLa2O3

=4,0 nm bei einer Substrattem-peratur Tdep=300 °C aufgewachsen wurden, gezeigt. Aus der nach KAR ermittelten Oxidkapa-zität Cox=26,3 pF ergibt sich ein Kapazitätsbelag von C ′ox=2,73 μF/cm2, eine relative Permitti-vität des Gate-Stapels von εr=12,1, was einer SiO2-äquivalenten Dicke des Dielektrikums vonC ET=1,31 nm entspricht. Die Kapazität-Spannungs-Charakteristik zeigt eine Linksverschiebungder Kurvenschar bei mehrfacher Messung, bis ein Sättigungseffekt nach ca. 7 Messungen auf-tritt. Die Flachbandspannung wurde mit VFB =-0,81 V nach der siebten Messung bestimmt. Dieseweicht um ΔVFB =-0,47 V von W-Elektrode ab. Die Hysterese bei Messung aus dem Inversions-in den Akkumulationsbereich und wieder zurück beträgt ΔV=0,13 V, was einer Dichte der um-ladbaren Zustände im Volumen der La2O3-Schicht von Not=2,2·1010 cm−2 entspricht. Eine Di-spersion der Kapazität-Spannungs-Charakteristiken bei verschiedenen Messfrequenzen sind imFrequenzbereich von f =5−200 kHz nicht feststellbar. Nach der Leitwertmethode wurde dieGrenzflächenzustandsdichte mit Dit=3,0·1012 cm−2eV−1 (vgl. Abbildung 5.30b). Die Zeitkon-stante der Grenzflächenzustände entspricht τit=4 μs.

154 5.6 Bauelemente mit La2O3-Dielektrika aus einem MBE-Prozess

Page 33: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

���� ���� ���� ���� ����

��

��

��

� �����������

���������

. �� �

������������������

������������������������������������� �!���"# $�

%&�����'�

'�����������

���

���

���

���

���

���

���

����������

�� ������

. �� ���

� �

(a) Kapazität-Spannungs-Charakteristik eines einzelnenpMOS-Kondensators bei mehrfacher Messung ausdem Inversions- in den Akkumulationsbereich beigleicher Messfrequemz f =100 kHz.

�� � �� � �������

����

����

����

�� )

"*�

ωω ωω������

� �

��,�����-.��

%�()

&�*+�π τ�#,

���

���

���

���

'���/0

/���

����

�/�

�����&��������+��� + �����

(b) Gp,MAX/Ω vs. f -Charakteristik zur Bestimmung derGrenzflächenzustandsdichte Dit aus Leitwertdatenbei verschiedenen Messfrequenzen f .

Abbildung 5.30: Charakteristiken zum Kapazität-Spannungs-Verhalten von pMOS-Kondensatoren mit W-Ti-

Elektrode, La2O3-Dielektrikum der Dicke dLa2O3=4,0 nm und Fläche A=964 μm2.

Durch das Aufwachsen von La2O3-Schichten bei erhöhter Substrattemperatur Tdep=400 °C sinktder Wert der Oxidkapazität Cox bei gleicher physikalischer Schichtdicke dLa2O3

und die SiO2-äquivalente Oxidschichtdicke steigt auf einen Wert C ET=1,41. Dieser Sachverhält fügt sichkonsistent in die Theorie der temperaturabhängige Ausbildung einer La-Silikat-Schicht niedererPermittivität ein.

Die Auswertung der Kapazität-Spannungs-Charakteristiken dünner La2O3-Schichten mit physi-kalischer Schichtdicke dLa2O3

=2,2 nm ergibt eine Permittivität der La2O3-Schicht von εr=10,24,was einer elektrischen kapazitätsäquivalenten Oxiddicke C ET=0,83 nm entspricht. Aus derSteigung der dox vs. C ET -Darstellung ergibt sich eine Permittivität der La2O3-Schicht ohne Zwi-schenschicht von εr ∼15. Dieser Wert weicht geringfügig von den in der Literatur berichte-ten Werten von εr=16 ab [219]. Die Bestimmung der Grenzflächenzustandsdichte der dünnenLa2O3-Schicht nach der Leitwertmethode ist aufgrund der hohen Leckströme nicht möglich.

5.6.3 Strom-Spannungs-Verhalten

In Abbildung 5.31 ist das Strom-Spannungs-Verhalten von in einem Gate-Last-Verfahren her-gestellten MOS-Kondensatoren mit einer W-Elektrode und La2O3-Dielektrikum verschiedenerDicke und Substrattemperatur bei Abscheidung gezeigt. Die Leckstromdichte JG bei einer Re-ferenzspannung von VG=-1,5 V ist in Tabelle 5.2 aufgeführt. Dabei zeigen bei niedrigerer Sub-strattemperatur abgeschiedene La2O3-Schichten einen vergleichsweise geringeren Leckstrom.Die höhere Substrattemperatur während der Abscheidung führt zu einer stärkeren Umwandlungder La2O3-Schicht in ein Lanthansilikat (LaSiOx) an der Grenzfläche zum Si-Substrat, deren Leit-fähigkeit höher ist als die einer La2O3-Schicht [220]. Eine genaue Aussage des Schichtaufbausist allerdings nur mit aufwändigeren Analysemethoden möglich, die im Rahmen dieser Arbeitnur sehr beschränkt zur Verfügung standen.

Kapitel 5. Elektrische Charakterisierung 155

Page 34: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

�� � �� �� �� ����

����

����

����

���

����

����

����������$ ��"

������������������

����

���

��

���

����

-!�.&����/0

���������� �����! ��"

���

� �-!�.&1���/0

2�����#$����3��������4"��

1

5���#$ !�3�6

(a) Physikalische Schichtdicke dLa2O3=2,2 nm.

�� � �� �� �� ����

����

����

����

���

����

����

����������$ ��"

������������������

����

���

��

���

�������������

���������� �����! ��"

���

� �

���������

����������������������

�����������

(b) Physikalische Schichtdicke dLa2O3=4,0 nm.

Abbildung 5.31: Strom-Spannungs-Charakteristik von pMOS-Kondensatoren mit La2O3- Dielektrikum, W-

Elektrode und Fläche A=964 μm2. Der Rauschpegel des Messgeräts liegt im verwendeten

Messbereich in der Größenordnung Inoise=10−8 A. Daher verlaufen die Kennlinien im Span-

nungsbereich -0,4<VG<0 auf einem konstanten Strompegel.

Dicke des Dielektrikums dLa2O3Substrattemperatur im Leckstromdichte @ VG=-1,5 VAufwachsprozess Tdep

2,2 nm 300 °C 14,0 A/cm2

2,2 nm 400 °C 24,9 A/cm2

4,0 nm 300 °C 0,15 A/cm2

4,0 nm 400 °C 5,2 A/cm2

Tabelle 5.2: Mittlere Leckstromdichten JG von pMOS-Kondensatoren mit La2O3- Dielektrikum und W-

Elektrode bei einer Referenzspannung VG=-1,5 V.

Der Leckstrommechanismus der dünnen La2O3-Schicht (dLa2O3=2,2 nm) wurde aufgrund der

Linerität der ln(JG/Eox) vs. Eox-Charakteristik als direktes Tunneln identifiziert. Der Stromlei-tungsmechanismus der dicken La2O3-Schicht (dLa2O3

=4,0 nm) konnte Auftragen der charakte-ristischen Kennlinien bei verschiedenen Temperaturen nicht eindeutig einem Stromleitungsme-chanismus zugeordnet werden. Eine Überlagerung verschiedener Mechanismen wie direktemTunneln, fehlstellenunterstützem Tunneln oder ohmschen Verhalten an extrinsischen Fehlstel-len erscheint am wahrscheinlichsten.

Eine Untersuchung des spontanen Durchbruchverhaltens in einem Rampen-I-V-Verfahren ist nurfür die bei niedrigerer Temperatur aufgewachsene La2O3-Schichten der Dicke dLa2O3

=4,0 nmdurchführbar, da alle anderen Schichten keinen elektrischen Durchbruch zeigen. Die Durch-bruchspannung wurde für eine Stichprobenmenge von 38 Bauelementen mit VBD=-3,13 V be-stimmt, was einer elektrischen Durchbruchsfeldstärke von Eox,BD=9,0 MV/cm entspricht. DieserStatistik liegen nur Bauelemente zugrunde, die einen dielektrischen Durchbruch zeigen. Auchhier wirkt sich die verstärkte Umwandlung der La2O3-Schicht in eine Silizidschicht bei höhererSubstrattemperatur negativ aus. Für eine Bestimmung einer von charakteristischen Lebensdau-ern aus der Messung des zeitabhängigen dielektrischen Durchbruchsverhalten bei verschiede-nen Stressspannungen und der Extrapolation einer maximalen Betriebsspannung stehen auf den

156 5.6 Bauelemente mit La2O3-Dielektrika aus einem MBE-Prozess

Page 35: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

Testsubstraten eine nicht ausreichende Zahl von gleichartigen Bauelementen zur Verfügung, daauf den Substraten ein Isolationsoxid abgeschieden wurde, dass die Teststrukturen D50 mas-kiert.

5.6.4 Hochtemperaturstabilität

Zur Untersuchung der Hochtemperaturstabilität wurden pMOS-Kondensatoren mit W-Elektrodeund La2O3-Dielektrikum der Dicke dLa2O3

=4,0 nm bei einer in CMOS-Prozessen üblichen Tempe-ratur von T=1000 °C für verschiedene Zeiten t in N2-Atmosphäre getempert und anschließenddie elektrischen Parameter Leckstromdichte JG und elektrische Dicke C ET vor und nach derTemperung miteinander verglichen. Die Ergebnisse sind in Abbildung 5.32 zusammengefasst.

� �� �� �� � �� �� �� ����

���

���

���

���

���

��

56����

6�����������+�

���

��

���

� �!"�#�

?��-+���� �����! ��"

���

� �

��"����$�!%���&�'(��

Abbildung 5.32: Variation der elektrischen Dicke C ET und Leckstromdichte JG einer La2O3-Schicht durch

Temperung bei T=1000 °C in N2-Atmosphäre.

Deutlich ist eine zeitabhängige Materialdegenration feststellbar, die sich in einer Zunahme desLeckstroms und der elektrischen Dicke zeigt. Nach einer Temperzeit von t=40 s tritt eine Sät-tigung auf, die auf eine vollständige Materialwandlung der ursprünglich 4,0 nm dicken La2O3-Schicht hindeutet. Ursache für die Materialdegeneration wurde von LICHTENWALNER ET AL. ei-ne Sauerstoffdiffusion innerhalb des Dielektrikums bestimmt [221]. Die Bildung einer Silikat-schicht kann zwar durch die Wahl geeigneter Capping-Metalle, die die Sauerstoffdiffusion beiHochtemperatur beeinflussen, reduziert, aber nicht ganz unterbunden werden [222]. La2O3-Schichten auf Si-Substrat weisen somit also keine für einen Gate-First-Prozess ausreichendeHochtemperaturstabilität auf.

Kapitel 5. Elektrische Charakterisierung 157

Page 36: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

5.7 Bauelemente mit Gd2O3-Dielektrika aus einem MBE-Verfahren

Im Rahmen eines bmbf-Verbundprojekts wurden Gd2O3-Schichten, ein Seltenerd-Oxid, epitak-tisch in einer Molekularstrahlepitaxie-Anlage des Instituts für Bauelemente der Mikroelektronik(Leibniz Universität Hannover) auf vor- und unstrukturierte Si-Substrate gewachsen.

5.7.1 Physikalische und elektrische Schichteigenschaften

In einem Molekularstrahlepitaxieprozess epitaktisch gewachsene Gd2O3-Schichten weisen miteiner Gitterkonstanten von aGd2O3

=3,82 Å eine sehr geringe Fehlanpassung an das Si(100)-Gitter(Gitterkonstante Si(100): aSi=3,84 Å) auf. Auf Si(111)-Substraten können Gd2O3-Schichtenalleinig in (111)-Domänen gewachsen werden werden, auf Si(100)-Substraten kommt es zurAusbildung von (110)- und (100)-Domänen. Der Einfluss des Strom-Spannungs-Verhalten anDomänengrenzen wurde von LAHA ET AL. untersucht [223]. Weiterhin ist durch das kontrollierteWachstum unter Ultrahochvakuumbedingungen ein definiertes Einstellen der Grenzflächenei-genschaften zum Substrat möglich [31]. Gd2O3 weist nur einen Valenzzustand auf, so das imVergleich zu beispielsweise Praseodymoxid, einem weiteren Seltenerd-Oxid, keine unkontrol-lierten Phasenübergänge und damit undefinierte elektrische Eigenschaften möglich sind [224].In Abbildung 5.33 sind hochauflösende transmissionselektronenmikroskopische Aufnahmen vonepitaktisch auf Si-Subtraten gewachsenen Gd2O3-Schichten dargestellt.

�)*+,-./0*123,)�1

��45

����16��37�*�8�9./79��3,-3

:444;

(a)Wachstum mit nicht optimierten O2-Partialdruck.Zwischen Substrat und Gd2O3-Schicht ist eine Zwi-schenschicht sichtbar.

�����������������

(b)Wachstum mit optimierten O2-Partialdruck. Zwi-schen Substrat und Gd2O3-Schicht ist ein scharferÜbergang ohne Zwischenschicht sichtbar.

Abbildung 5.33: Hochauflösende transmissionselektronenmikroskopische Aufnahmen von epitaktisch ge-

wachsenen Gd2O3-Schichten auf Si-Substraten.

Aufnahmen: E. Bugiel, LU Hannover

Während die Probe in Abbildung 5.33a eine Schicht zwischen Dielektrikum und Substrat zeigt,ist in der Aufnahme einer Probe aus Abbildung 5.33b einer scharfer Übergang zwischen Si-Substrat und Gd2O3-Schicht zu erkennen. Die Ursache der Zwischenschichtbildung ist ein nichtangepasster Sauerstoffpartialdruck während des Oxidwachstums [225]. Alle folgenden unter-suchten Proben weisen ausschließlich ein unter optimierten Wachstumsbedingungen hergestell-ten Gd2O3-Schichten auf.

158 5.7 Bauelemente mit Gd2O3-Dielektrika aus einem MBE-Verfahren

Page 37: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

Das verwendete Quellenmaterial für die Gadoliniumepitaxie ist kommerziell erhältliches, gra-nulares Gd2O3 der Reinheit 99,9% (Hersteller: Cerac). Frisch eingefülltes Quellenmaterial wirdvor den ersten Wachstumsexperimenten konditioniert. Dazu wird das Material über mehrereStunden mit dem Elektronenstrahl bei mittlerer Leistung erhitzt. Beim Erhitzen verdampfen vorallem am Gd2O3-Granulat adsorbiertes Wasser und Kohlenstoffverbindungen. Weiterhin wirdaus der Oberfläche der Gd2O3-Körner ein Teil des Sauerstoffs freigesetzt, wodurch eine leichtreduzierte Gd2O3-Phase entsteht:

Gd2O3(s)→ Gd2O3−y(s)+ yO(g) (5.2)

Dieser Prozess findet bei vergleichsweise niedrigen Temperaturen von wenigen hundert GradCelsius statt. Während des eigentlichen MBE-Prozesses wird das Quellenmaterial vom Elektro-nenstrahl lokal auf eine Temperatur von über 3000 °C erhitzt. Bei hohen Temperaturen zersetztsich das reduzierte Gd2O3−y zu

Gd2O3−y(s)→ 2GdO(s)+ (1-y)O(g) (5.3)

Das bedeutet, das Gadalonimiumoxid hauptsächlich als Monoxid GdO verdampft. Zum Einstel-len der Stöchiometrie besteht die Möglichkeit, über ein Piezoventil Sauerstoff in die Kammereinzuleiten [121].

Vor dem Aufwachsen wird das Si-Substrat präpariert, um eine Oberfläche von definierter Zu-sammensetzung und Struktur zu schaffen. Dazu wird die Substratoberfläche erst nasschemischgereinigt und durch kurzes Eintauchen in eine Flusssäurelösung von der nativen SiO2-Schichtbefreit und wasserstoffterminiert. Die Absättigung von Si-Bindungen an der Substratoberflä-che mit H2 für zu einer Passivierung, die eine kurzfristige Reoxidation durch den Luftsauerstoffverhindert. Anschließend erfolgt das Einschleusen des Substrates in die UHV-Kammer und die(2x1)-Rekonstruktion der Oberfläche im Ultrahochvakuum. Nach Entfernung der Wasserstoff-terminierung erfolgt die Passivierung der Oberfläche durch Wachstum eines Bruchteils einer er-sten Monolage Gd2O3 oder Ge bei einer Subtrattemperatur Tdep=300 °C und anschließend daseigentliche Gd2O3-Schichtwachstum bei höherer Substrattemperatur von Tdep=650 °C und einerRate von Rdep=0,3 nm/min. Neben der Substrattemperatur spielt der Sauerstoffpartialdruck pO2

in der Prozesskammer eine wichtige Rolle für die Qualität der abgeschiedenen Gd2O3-Schicht.Wird er zu niedrig gewählt, kommt es zu einer Bildung von strukturellen Defekten und sili-zidischen Einschlüssen in der Gd2O3-Schicht während des Wachstumsprozesses. Ein zu hoherSauerstoffpartialdruck führt zu Beginn des Prozesses zur Oxidation des Si-Substrats und damitzur Ausbildung einer SiOx -Zwischenschicht mit niedriger Permittivität. Als optimal hat sich einPartialdruck von pO2

=5·10−7 mbar erwiesen [225]. Bei unstrukturierten, planaren Si-Substratenkann eine in-situ Abschätzung der Schichtdicke mittels Röntgenbeugung durchgeführt werden.Diese Technik ist allerdings bei den im Rahmen dieser Arbeit hergestellten vorstrukturiertenSi-Substraten aufgrund des geringen Einfallwinkels des Elektronenstrahls von α ∼1° nicht an-wendbar.

Untersuchungen einer Gd2O3-Schicht auf planaren Si-Substrat mittels Rasterkraftmikroskopiezeigen eine Schicht mit homogener Dicken- und Leckstromverteilung über den gesamten Messbe-reich. Lediglich Test-Bauelemente ohne Metall-Elektrode einer Charge zur Herstellung vonMOS-

Kapitel 5. Elektrische Charakterisierung 159

Page 38: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

Kondensatoren in einem Gate-Last-Verfahren zeigten gleichförmig verteilte Leckstromzentren,deren Ursache jedoch auf prozessbedingte lokale Überätzungen in das Si-Substrat zurückge-führt werden konnten.

Gd2O3-Schichten zeigen sich kompatibel mit Mid-Gap-Metallelektroden wie W, W-Ti und TiN.Unedle Metall mit geringer Austrittsarbeit sind sehr reaktiv und reagieren bereits während derAbscheidung. Bei der Verdampfung von Aluminium mittels Elektronenstrahl im Ultrahochvaku-um findet zwischen Al-Atomen in der Gasphase und der Gd2O3-Oberfläche bereis bei Raumtem-peratur folgende Reaktion statt:

Gd2O3 + 2Al(g)→ Al2O3 + 2Gd ΔG = −119 kJ/mol (5.4)

Die Reduktion von Gd2O3 ist thermodynamisch begünstigt, da die Gibbs-EnergieΔG7 negativ ist.In Abbildung 5.34 sind die Kapazität-Spannungs-Charakteristiken zweier MOS-Kondensatorengezeigt, die aus identischem Gd2O3-Dieelektrikum und unterschiedlicher Metall-Elektrode auf-gebaut wurden.

���� ���� ���� ���� ���� ���� �����

��

��

��

��

��

%�!���������

������������"��� ����

%�" ��<��

���������

. �� �

������������������

�!��!���������

Δ�=>

Abbildung 5.34: Kapazität-Spannungs-Charakteristiken von MOS-Kondensatoren mit identischen Gd2O3-

Dielektrikum. Deutlich ist ein reduzierter Kapazitätswert in Akkumulation für das Bau-

element mit Al-Elektrode erkennbar, die auf eine Reaktion der Al- mit der Gd2O3-Schicht

während des Abscheideprozesses zurückgeführt wird.

Deutlich ist eine reduzierte Kapazität in Akkumulation des Bauelementes mit Al-Elektrode er-kennbar. Unter Annahme einer Permittivität der Al2O3-Schicht von εr=9 [22] ergibt sich rech-nerisch eine Schichtdicke von dAl2O3

=2,7 nm. Unedle Metalle sind somit für die Verwendung alsGate-Elektrodenmaterial für Gd2O3-Schichten ungeeignet.

Zu Beginn der Arbeiten gab es keine Erfahrungswerte zur Homogenität des Wachstum vonGd2O3-Schichten auf vorstrukturierten 4-Zoll-Substraten. Bei der Herstellung von Bauelementenim Gate-Last-Verfahren ist im Gegensatz zu planaren Si-Substraten während des MBE-Prozesseskeine in-situ Dickenbestimmung der Gd2O3-Schicht mit einem Elektronendiffraktometer mög-

7 Auch bezeichnet als freie Enthalpie. Ist ΔG<0, handelt es sich um eine exergone Reaktion, die unter dengegebenen Bedingungen (Konzentrationen) freiwillig abläuft.

160 5.7 Bauelemente mit Gd2O3-Dielektrika aus einem MBE-Verfahren

Page 39: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

lich. Eine Messung der Schichtdicke mittels Ellipsometrie scheidet mangels Kenntnis und Be-stimmbarkeit eines realistischen komplexen Brechungsindexes mit den gegebenen Möglichkei-ten aus. In der Literatur sind mit Brechungsindizes von n=1,71 und n=1,80 erste Anhaltswertezu finden. Diese wurden jedoch von BHATTACHARYYA ET AL. und DAKHEL ET AL. für elektronen-strahlverdampftes und nicht für kristallin gewachsenes Gd2O3 bestimmt [226][227]. Daher wur-de eine Dickenbestimmung mittels semi-automatisierter Kapazität-Spannungs-Messungen undanschließender Berechnung der Schichtdicke gemäß Gleichung 2.36 durchgeführt. Mit einer Da-tenbasis von vier Kapazität-Spannungs-Messungen an vier MOS-Kondensatoren pro Chip bei 98Chips pro Substrat wurde die Variation der Oxidkapazität Cox einer Gd2O3-Probe mit SolldickedGd2O3

=12 nm ermittelt. Diese ist in Abbildung 5.35 gezeigt. Unter Ausnahme der Randbereichedes Substrats weist die Gd2O3-Schicht eine Dicke von dGd2O3

=12±0,5 nm auf über den Substratverteilt auf.

�����

��

��

�����

������

��

��

���������

�4��&�

���4�A������� ���8�A������

"��

" �

���

���

���

���

� �

���

���

Abbildung 5.35: Graphische Darstellung der in Kapazität-Spannungs-Messungen bestimmten Werte der

Oxidkapazität Cox zur Ermittlung der Schichtdickenvariation einer Gd2O3-Schicht auf ei-

nem vorstrukturierten Si-Substrat der Größe 4 Zoll.

5.7.2 Hochtemperaturstabilität

In Abbildung 5.36 sind die Einflüsse verschiedener Temperprozesse auf die Schichteigenschaf-ten von epitaktisch gewachsenem Gd2O3 gezeigt.

Deutlich ist eine Zunahme der kapazitätsäquivalenten Schichtdicke C ET für Temperaturberei-che T>800 °C erkennbar. Ursache ist die Bildung einer SiO2-ähnlichen und einer leitenden sili-katischen Schicht zwischen Si-Substrat und Gd2O3-Film. Die Zunahme von C ET und damit dieAusbildung einer Reaktionsschicht kann durch Abdecken der Gd2O3-Schicht beispielsweise mit

Kapitel 5. Elektrische Charakterisierung 161

Page 40: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

� ��� �� ��� �� �����

���

����

��

��

6����������E�

56����

������������������������ ����

���

���

!���

��

���

�����

����

���

����

����

����

���

����

���!

���

��!

?��-+���� �����!�"

���#�

"��

��

����

��

���

Abbildung 5.36: Änderung der kapazitätsäquivalente Schichtdicke C ET und der Leckstromdichte JG bei

einer Referenzspannung von VG=-1,5 V einer Gd2O3-Schicht in Abhängigkeit der Tempe-

ratur.

amorphen Silizium während der Temperung bei T=900 °C auf einen Wert um ΔC ET=+0,8 nmverringert, aber nicht verhindert werden [228]. Somit ist bereits ohne eine weitere Unter-suchung der modifizierten Grenzfläche eine Verwendung von Gd2O3-Schichten als Dielektri-kum in einem Gate-First-Verfahren hergestellten MOS-Bauelementen aufgrund der mangelndenHochtemperaturstabilität ausgeschlossen und eine Integration in einen CMOS-Prozess mit denGate-Last-Ansatz notwendig.

5.7.3 Kapazität-Spannungs-Verhalten

In Abbildung 5.37 sind Kapazität-Spannungs-Charakteristiken der ersten fünf Messzyklen vonBauelementen mit Gd2O3-Dielektrikum, TiN-Elektrode und p- bzw. n-Si-Substrat gezeigt. Ausden Kapazität-Spannungs-Charakteristiken wurde die Oxid-Kapazität nach KAR bestimmt undeine Permittivität der Gate-Stapel von εr ≈14 ermittelt. Auffällig ist eine kontinuierliche, bei derersten Messung besonders ausgeprägten Linksverschiebung der Kapazität-Spannungs-Charakte-ristik der pMOS-Kondensatoren mit steigender Messzyklenzahl in Abbildung 5.37a. ÄhnlicheEffekte wurden bereits bei MOS-Kondensatoren mit HfO2- und ZrO2-Dielektrikum beobachtet.Die Flachbandspannung verschiebt sich von VFB =-0,95 V bis auf VFB =-2,7 V nach der fünftenMessung. Dieser Trend setzt sich bei folgenden Kapazität-Spannungs-Messungen fort, wie demEinsatz von Abbildung 5.37a entnommen werden kann. Erst nach ca. 20 Messungen der istdie Flachbandspannung auf einen stabilen Wert VFB =-3,28 V abgesättigt. Diese Verschiebungder Kapazität-Spannungs-Charakteristik ist nicht reversibel. Der Effekt kann nach CZERNOHORSKYdamit begründet werden, dass Einfangstellen im Volumen der Gd2O3-Schicht schwerer be- undentladbar sind, je tiefer das Energieniveau der Einfangstelle in der Bandlücke liegt und je weitersie von Grenzflächen räumlich entfernt liegen [121]. Jeder Messzyklus oder Spannungsstressermöglicht weiteres Tunneln von Ladungsträgern über bereits belegte zu weiter im Inneren der

162 5.7 Bauelemente mit Gd2O3-Dielektrika aus einem MBE-Verfahren

Page 41: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

� �� �� �� � � ��

��

��

��

��

���������

���

������������������

���

���

��

���

��

���

� �� �� ���

��

��

��

��

���

������

#� ����������� ���$�%�����������&�����'���$�%

����������

�� ������

��� ���

� �

(�������������(�����������������(

�� ���$�%

(a) p-Si-Substrat, CET=3,62 nm.

�� �� � ��

��

��

��

��

���� ���� �����

��

��

���

�����

#� ����������� ���$�%�����������&�����'���$�%

���������

���

������������������

���

���

��

���

��

����� ���$�%

����������

�� ������

��� ���

� �

(��������(

(b) n-Si-Substrat, CET=3,55 nm.

Abbildung 5.37: Kapazität-Spannungs-Verhalten von MOS-Kondensatoren mit Gd2O3-Dielektrikum, TiN-

Elektrode und Fläche A=2500 μm2. Dargestellt sind die ersten fünf Messungen eines Bau-

elements mit f =100 kHz, in den Einsätzen weitere Messungen bei verschiedenen Messfre-

quenzen.

Badlücke liegende Einfangstellen. Weiterhin wird durch die zusätzliche Ladung im Volumen derGd2O3-Schicht die Bandverbiegung verstärkt, so dass tiefer liegende Energieniveaus der Einfang-stellen nach Beladung elektrisch nicht mehr zugänglich sind. Als strukturelle Ursache der Ein-fangstelle im Volumen kommen Sauerstofffehlstellen in Frage, die insbesondere bei einer Prozes-sierung unter Ultrahochvakuumbedingungen ausgebildet werden können. CZERNOHORSKY konn-te anhand der Verschiebung der Kapazität-Spannungs-Charakteristik sowie dem Wert der Hyste-rese folgende These aufstellen und belegen: Die Sauerstofffehlstellen sind zunächst unbesetzt(V 0

O), was einer neutralen Einfangstelle entspricht. Durch Injektion von Löchern aus dem Sub-strat in die Gd2O3-Schicht wird bei der ersten Messung der Kapazität-Spannungs-Charakteristikim Betriebsbereich der Akkumulation die Einfangstelle doppelt beladen (V+2

O ). Beim Entladenim Inversionsbereich wird jedoch nur noch der Zustand (V+1

O ) erreicht, der offenbar energe-tisch günstiger ist als der (V 0

O)-Zustand. Eine erneute Messung im Akkumulationsbereich führtzu einem wiederholten Übergang vom (V 0

O) in den (V+1O )-Zustand der Einfangstelle. Diese The-

se wurde durch das Verhältnis von der Verschiebung der Flachbandspannung durch die ersteMessung zu dem Wert der Hysterese belegt, der analog der Änderung der Ladungszustände 2:1beträgt.

nMOS-Kondensatoren mit gleichem Gate-Stapel weisen wie in Abbildung 5.37b gezeigt ei-ne mit ΔV=-0,07 V vergleichsweise geringe Verschiebung der Flachbandspannung bei mehr-maliger Messung aus dem Inversions- in den Akkumulationsbereich. Analog zu den pMOS-Kondensatoren findet auch hier eine irreversible Beladung von Einfangstellen im Volumen derGd2O3-Schicht statt, da die intrinsischen Schichteigenschaften und damit die strukturellen De-fekte der Schicht unabhängig vom Substrattyp sind. Der Beladungsprozess ist jedoch nicht soausgeprägt sichtbar, da sich nMOS-Bauelemente bei negativer Gate-Spannung im Betriebsbe-reich der Inversion befinden und das Einfangen von Ladungsträgern schon zu Beginn der Mes-sung der Kapazität-Spannungs-Charakteristik stattfindet.

Die Dichte der umladbaren Grenzflächenzustände wurde mit Dit=6,3·1011 cm2eV−1 für pMOS-

Kapitel 5. Elektrische Charakterisierung 163

Page 42: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

und Dit=3,0·1012 cm2eV−1 für nMOS-Kondensatoren mit TiN-Elektrode bestimmt. Die Unter-schiede von Dit für nMOS- und pMOS-Kondensatoren und damit für verschiedene Si-Substrattypenliegt in einer nicht gleichförmigen Verteilung der Grenzflächenzustände in der Bandlücke be-gründet. Dies wurde durch Charge-Pumping-Messungen nachgewiesen (vgl. Abbildung 5.49).Die Leitwertmethode nach NICOLIAN & GÖTZBERGER ist je nach Substrattyp nur in der oberen bzw.unteren Hälfte der Bandlücke sensitiv, so dass die bestimmten Werte für Dit mit dem Substrattypvariieren [109].

MOS-Kondensatoren mit gleichem Dielektrikum und Substrat und einer W-Elektrode zeigen mitDit=2,7·1012 cm2eV−1 für pMOS- und Dit=7,3·1012 cm2eV−1 für nMOS-Kondensatoren deutlichhöhere Grenzflächenzustandsdichte im ungetemperten Zustand, die mit den von CZERNOHORSKYET AL. berichteten Werten in der Literatur übereinstimmen [121]. Ursache der niedrigeren De-fektdichte der MOS-Kondensatoren mit TiN-Elektrode ist eine Quasi-Formiergastemperung unddamit eine Absättigung der Defekte in der Gd2O3-Schicht bei der Abscheidung der TiN-Elektrodedurch das in dem ALD-Prozess bei T=300 °C als Prekursor verwendete N2/H2-Plasma (vergleichKapitel 3.1.3).

Die geringste Grenzflächenzustandsdichte wurde für in einem Gate-Last-Prozess hergestellteMOS-Kondensatoren mit W-Elektrode und einer Germanium-Passivierung der Si-Oberfläche vordem MBE-Prozess erreicht. Dazu wurden vor dem Aufwachsen der Gd2O3-Schicht in der MBE-Anlage einige Monolagen Germanium durch Chemisorbtion auf der Oberfläche des Si-Substrataufgebracht. Die Dichte der Grenzflächenzustände wurde für diese Proben mit Dit=2,9·1011 cm2eV−1

bestimmt. LAHA ET AL. konnten einen leicht niedrigeren Wert für Dit für MOS-Kondensatoren mitPt-Elektrode auf Si(100)-Substraten experimentell nachweisen [229].

5.7.4 Strom-Spannungs-Verhalten

Das folgende Unterkapitel beschreibt das Strom-Spannungs-Verhalten von ungetemperten MOS-Kondensatoren mit epitaktisch gewachsenem Gd2O3-Dielektrikum und TiN-Elektrode. Nebendem Leckstromverhalten werden insbesondere das spontane und zeitabhängige Durchbruchs-verhalten untersucht und Prognosen zur Bauelementenzuverlässigkeit abgeleitet.

Leckstrom

In Tabelle 5.3 ist die bestimmte Leckstromdichte bei der Referenzspannung von |VG|=1,5 Vaufgeführt. Eox entspricht dem elektrischen Feld über dem Dielektrikum, das gemäß Gleichung2.7 aus der Flachbandspannung VFB, der Gate-Spannung VG und der physikalischen Dicke desDielektrikums doxberechnet werden kann.

Der bei Gd2O3-Schichten mit einer Dicke von dGd2O3=8,1 nm zugrundeliegende Stromleitungs-

mechanismus bei niedrigen Gate-Spannungen VG wurde anhand der Linearität der ln(JG/Eox)vs. (Eox)

1/2-Darstellung wie in Abbildung 5.38b gezeigt als Poole-Frenkel-Leitung identifiziert.Das Energieniveau der Fehlstellen wurde von GOTTLOB ET AL. an einem vergleichbaren Materi-alsystem mit qφt=1,2 eV bestimmt [230]. Für höhere Spannungen VG dominiert der Stromlei-

164 5.7 Bauelemente mit Gd2O3-Dielektrika aus einem MBE-Verfahren

Page 43: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

Substrat Dicke Leckstromdichte @ |Eox| |Eox|

p-Si 3,1 nm 1,9 -p-Si 8,1 nm 1,2·10−6 A/cm2 0,95 MV/cmn-Si 7,7 nm 4,0·10−6 A/cm2 3,77 MV/cmp-Si 13,1 nm 0,4·10−6 A/cm2 0,14 MV/cmn-Si 13,1 nm 1,2·10−6 A/cm2 2,48 MV/cm

Tabelle 5.3: Leckstromdichte Gd2O3-basierter nMOS-Kondensatoren mit TiN-Elektrode.

tungsmechanismus des Fowler-Nordheim-Tunnelns bis zum dielektrischen Durchbruch, wie dieln(JG/(Eox)

2) vs. 1/Eox-Darstellung zeigt. Strom-Spannungs-Kennlinien von nMOS-Kondensatorenmit Gd2O3-Dielektrikum und TiN-Elektrode sind exemplarisch in Abbildung 5.38a gezeigt.

� � � � � � ������

�����

�����

����

���

����

����

����

���

����

) �

*�$�

��

���

)�

���

���

"�+

*��

��

!��

����������$�

�"�

������������������

,��*

��"

��$�

*

����

����

���

����

����

���

������������ �����! ��"

���

� �

(a) Strom-Spannungs-Charakteristiken mit Bezeich-nung der dominanten Stromleitungsmechanismen.

��� ���� ���� �������

����

����

����

����

��:!

��5

�4;

:5�4;/������)��

(b) Poole-Frenkel-Darstellung für den Spannungsbe-reich 1,2 V<VG<3,5 V.

Abbildung 5.38: Strom-Spannungs-Charakteristiken von nMOS-Kondensatoren mit Gd2O3-Dielektrikum

und TiN-Elektrode. Die physikalische Dicke der Gd2O3-Schicht beträgt dox=8,1 nm.

Durchbruchsverhalten nach der Rampen-I-V-Methode

Eine erste Abschätzung des Durchbruchsverhaltens erfolgte mit dem in Kapitel 2.2.4 vorgestell-ten Rampen-I-V-Verfahren zur Bestimmung der spontanen Durchbruchsspannung. Die Datenba-sis bilden weit über 100 zuverlässige Messungen MOS-Kondensatoren der Fläche A=2500 μm.Der abgeschätzte Serienwiderstand RS beträgt wenige Ohm und kann daher vernachlässigt wer-den. Abbildung 5.39 zeigt einen Vergleich der untersuchten Proben unterschiedlicher Dicke undSubstrattypen bei Messung im Betriebsbereich der Akkumulation.

Dünnere Schichten weisen nach der Normierung der Durchbruchsspannung VBD auf die physi-kalische Schichtdicke dox eine höhere elektrische Durchbruchsfeldstärke Eox,BD auf als dickereSchichten. Weiterhin kann der Darstellung entnommen werden, dass die Injektion von Löchernaus dem Substrat in das Dielektrikum während der Messung in Akkumulation als schädlicherzu bewerten als die Injektion von Elektronen. Die Streuung aller Werte von VBD und Eox,BD um

Kapitel 5. Elektrische Charakterisierung 165

Page 44: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

���

������

��

��

�� ��

���������

���������

���������

5&&9'����<����+&�� +���-�F5

�4(='F:)

����

;

���������

Abbildung 5.39: Spontanes Durchbruchsverhalten von MOS-Kondensatoren mit TiN-Elektrode und Gd2O3-

Dielektrikum im Betriebsbereich der Akkumulation.

den Mittelwert ist deutlich geringer im Vergleich zu den Untersuchten Bauelementen mit ZrO2-,HfO2- und Gd2O3 (PVD)-Schichten. Im Gegensatz zu den hier gezeigten Proben weisen ältereBauelemente mit einer nicht optimierten Prozessführung oft bimodale Verteilungen der Durch-bruchsspannung auf. Die Ursache ist in prozessbedingten lokalen Schwächungen des Dielektri-kums aufgrund rauer Substratoberfläche, offene Porenstrukturen im Si-Substrat oder Ausdün-nungen des Dielektrikums im Bereichs der Grabenränder zu finden.

Wie bereits erwähnt, dienen Rampen-I-V-Messungen zur Bestimmung spontanen dielektrischenDurchbruchs nur einer ersten Abschätzung der Schichteigenschaften. Aussagen zur erwartetenZuverlässigkeit lassen sich nur durch zeitabhängige Stressmessungen erhalten.

Zeitabhängiges Durchbruchsverhalten

In Abbildung 5.40 ist das Strom-Zeit-Verhalten vonMOS-Kondensatoren mit Gd2O3-Dielektrikumund TiN-Elektrode unter Spannungsstress für verschiedene Substrattypen gezeigt. Der Gate-Strom IG wurde bei einer Spannung |VG,Sense|=1,5 V gemessen. Die Stress-Spannung VG,Stressliegt stets im Spannungsbereich des Fowler-Nordheim-Tunnelmechanismus, um eine Testzeitvon t=3000 Sekunden bei Raumtemperatur nicht zu überschreiten. Zur besseren Vergleichbar-keit wurden die Strom-Spannungs-Verläufe auf die jeweiligen Leckströme im Vortest IG,Pre-Testnormiert.

Für pMOS-Kondensatoren führt der Spannungsstress zu einer stetigen, stressspannungsabhän-

166 5.7 Bauelemente mit Gd2O3-Dielektrika aus einem MBE-Verfahren

Page 45: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

�� ��� ��������

���

���

���

���

��

���

���

�������������

����������

��������

����������

��������

$ ��$

�(G���6�+�H

����+�I�/(J���

959�

K�����+�

(a) p-Si-Substrat.

� �� ��� ����

���

���

�������������

����������

��������

����������

�������

$ ��$

�(����6�+�H

����+�I/(J���959�

K�����+�(b) n-Si-Substrat.

Abbildung 5.40: Strom-Zeit-Verhalten von MOS-Kondensatoren mit Gd2O3-Dielektrikum und TiN-Elektrode

unter Spannungsstress für verschiedene Substrattypen.

gigen Zunahme des Gate-Stroms, bei nMOS-Kondensatoren bleibt der Strom durch das Oxid aufnahezu konstantem Wert bis zu einem steilen Anstieg durch einen harten dielektrischen Durch-bruch. Wie schon bei der Untersuchung des spontanen Durchbruchsverhalten beobachtet, führtdie Injektion von Löchern aus dem Substrat in das Dielektrikum durch eine negative Stressspan-nungen zu einer Schädigung des Oxids und zu einer Zunahme des stressinduzierten Leckstromssowie der Ausbildung von parallelen Perkolationspfaden. Dieser Effekt wurde von PAE ET AL. undHIRANO ET AL. für Hf-basierte Dielektrika beobachtet [231][232].

Weiterhin zeigen die Bauelemente bei Spannungsstress unter erhöhter Temperatur eine deut-liche Verschiebung der Flachbandspannung wie in Abbildung 5.41 gezeigt. Dies ist ein weiteresIndiz für die Schädigung des Dielektrikums unter stressbedingter Bildung von neuen geladenenFehlstellen. Die Verschiebung der Flachbandspannung zeigt ebenfalls eine stärkeren Ausprägungbei negativer Gate-Spannung VG (engl. Negative Bias Temperature Instability, NBTI).

Die Messung der Strom-Zeit-Charakteristiken erfolgte innerhalb der Testreihe zur Bestimmungdes zeitabhängigen dielektrischen Durchbruchs. Aufgrund des geringen zeitlichen Auflösungs-vermögens des Messaufbaus kann eine zweifelsfreie Unterscheidung zwischen parallelen wei-chen dielektrischen Durchbrüchen und stressinduziertem Leckstrom nicht getroffen werden. Je-doch zeigt sich ein kurzzeitiger Rückgang des graduellen Leckstromanstiegs, sofern eine um-gekehrte Stress-Spannung (Inversion) vor der Leckstrom-Messung angelegt wird. Deshalb kanndavon ausgegangen werden, dass es sich in diesem Fall um einen Anstieg aufgrund von stres-sinduziertem Leckstrom durch erhöhtes fehlstellenunterstützes Tunneln handelt, da Perkolati-onspfade beziehungsweise weiche dielektrische Durchbrüche kein reversibles Verhalten zeigen.Ähnliches wurde von CARTIER ET AL. sowie KERBER ET AL. bei Untersuchungen an SiO2/HfO2/TiN-Gate-Stapeln gefunden [233][234].

Aus den Strom-Zeit-Charakteristiken wurden die Ausfallzeiten der untersuchten Bauelemen-te bestimmt. Als Ausfall wurde ein Anstieg des Leckstroms auf 1 nA bzw. das 500-fache des

Kapitel 5. Elektrische Charakterisierung 167

Page 46: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

� �� ��� ��������

���

���

���

��

� !"#�$!"#

ΔΔ ΔΔ� =��

��

����++������+�

"������

�%��&'

()������

(�����*+�

Abbildung 5.41: Verschiebung der Flachbandspannung ΔVFB eines MOS-Kondensators mit Gd2O3-

Dielektrikum und TiN-Elektrode unter Einwirkung eines Spannungs-Temperatur-Stresses.

Leckstromwertes vor Stressbelastung IG,Pre-Test definiert. Diese weit verbreitete Definition er-weist sich nach Abschluss und Betrachtung der Messungen als ungeeignet, da aufgrund desgraduellen Stromanstiegs bei pMOS-Bauelementen innerhalb der Stress-Zeit zum Teil keineharten dielektrischen Durchbrüche vor Erreichen der Stromgrenze erkennbar sind. Aufgrundder Fläche der Teststrukturen von A=2500 μm2 und dem in den Strom-Zeit-Charakteristikenbeobachteten graduellen Stromanstieg muss davon ausgegangen werden, dass es zumindestfür einen Teil der Messungen zu multiplen weichen dielektrischen Durchbrüchen während derStressphasen kommt. Folgt man DEGRAEVE ET AL., ist eine Anwendung der klassischen Weibull-Theorie für die Verteilung der harten dielektrischen Durchbrüche alleine aus diesem Grund undder damit verbundenen Verletzung der Annahme des schwächsten Glieds bereits unzulässig.In diesem Fall müsste in der Folge eine Abhängigkeit der Weibull-Parameter sowohl von derTest-Strukturoberfläche als auch vom Stress-Spannungsniveau zu beobachten sein. Für gerin-gere Stress-Spannungsniveaus steigt zusätzlich die Wahrscheinlichkeit für das Auftreten vonweichen dielektrischen Durchbrüchen, da die anfallende Verlustleistung bei Ausbildung einesPerkolationspfades sinkt. Eine statistisch belastbare Untersuchung kann nur auf Basis einer De-tektion einzelner weicher dielektrischer Durchbrüche mit entsprechend hochauflösender Mess-technik sowie kleinerer Bauelementfläche erfolgen [235]. Abbildung 5.42 zeigt die Verteilungder Ausfallzeiten in der Weibull-Darstellung für pMOS- und nMOS-Kondensatoren mit Gd2O3-Dielektrikum und TiN-Elektrode.Auffällig ist eine hohe Steigung im Anfangsbereich der Verteilungen des pMOS-Kondensatorsin Abbildung 5.42a, die auf zwei verschiedene Ausfallmechanismen hinweist. Eine anfängli-che Steigungserhöhung kann nach Roussel et al. auch durch weiche dielektrische Durchbrü-che begründet werden [236]. KERBER ET AL. berichten von ähnlich höheren Steigungen fürniedrige Ausfallzeiten bei Untersuchungen zum zeitabhängigen dielektrischen Durchbruch anSiO2/HfO2/TiN-Gate-Stapeln, für deren Ursache sie unterschiedliche Defektgenerationsratenin HfO2 und SiO2 vermuten [234]. Allerdings muss von der bereits beschriebenen Verschie-bung der Flachbandspannung im Verlauf der Messungen ausgegangen werden, weshalb dieangegebene Oxidspannung Vox nur im oberen Teil des Weibull-Darstellung Gültigkeit besitzt.

168 5.7 Bauelemente mit Gd2O3-Dielektrika aus einem MBE-Verfahren

Page 47: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

��� ��� �� ���� ��� � � ����

��

��

��

���%�

����

��%�

��%�

���%

����

���������

�*��,-

����*����� ����*����� ����*�����

������������ ����*����� ����*����� ���%*�����

��:���:/� ;;

K�����+�

!�� �.������./�0

����

(a) p-Si-Substrat, physikalische Dicke dGd2O3=13,1 nm.

�� ��� ��� �� ���� ��� � � ����������

��

��

��

����

����

��

��� �����

������

�������� �������� �������

��:���:/� ;;

K�����+�(b) n-Si-Substrat, physikalische Dicke dGd2O3

=7,7 nm.

Abbildung 5.42: Weibull-Darstellung der Zeit bis zum dielektrischen Durchbruch tBD für verschiedene

Stressspannungen VG,Stress, bestimmt für MOS-Kondensatoren mit Gd2O3-Dielektrikum,

TiN-Elektrode und Fläche A=2500 μm2.

Die beobachtete bimodale Verteilung in Abbildung 5.42a kann damit durch eine Verringerungder Stress-Belastung Vox,Stress innerhalb der ersten Sekunden begründet werden. Dafür sprichtdie abnehmende Steigungsdifferenz mit sinkender Stress-Spannung und somit längerer Bela-dungszeiten der Volumendefekte. Trotz einer deutlich höheren Feldbelastung zeigt das Dielek-trikum der nMOS-Kondensatoren in Abbildung 5.42b ähnliche Ausfallzeiten wie die der pMOS-Kondensatoren, was die wiederum Schwächung des Oxids durch negative Gate-Spannungenund der damit verbundenen Injektion von Löchern aus dem Si-Substrat in das Dielektrikumbestätigt. Dies zeigt sich deutlich in den Extrapolationen der charakteristischen Lebenszeitent63%, die in Abbildung 5.43 für beide Substrattypen gezeigt sind. Die maximalen Betriebsspan-nungen für eine charakteristische Lebensdauer von 10 Jahren wurden mit VG,MAX=-2,21 V fürpMOS-Kondensatoren und VG,MAX=+2,18 V für nMOS-Kondensatoren bestimmt.

�� �� �� �� �� �� � � � � � ����

���

���

���

���

���

���

���

��

��

����

����

����

���

���

����

���

���

�������� � ��� ���

��� !"#$

����

�����%

������

���

������

�!��!�$��$ #�$�&&�!��'�(�

��)*+

������%,�,���

���������

Abbildung 5.43: Extrapolationen der charakteristischen Lebensdauer und maximalen Betriebsspannung

für eine gegebene charakteristische Lebensdauer von MOS-Kondensatoren mit Gd2O3-

Dielektrikum, TiN-Elektrode und Fläche A=2500 μm2.

Kapitel 5. Elektrische Charakterisierung 169

Page 48: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

5.7.5 Transistoreigenschaften

Die in diesem Kapitel betrachteten in einem Gate-Last-Verfahren hergestellten MOS-Transistorenweisen einen Schichtstapel bestehend einer TiN-Elektrode und einem Gd2O3-Dielektrikum miteiner kapazitätsäquivalenten Dicke C ET=3,2 nm auf. Die hergestellten Bauelemente sind vollfunktional, die wie Ausgangskennlinien für beide Substrattypen in Abbildung 5.44 zeigen.

���� ���� ���� ���� ��� ��� ��� ��� ��� ��� �������

����

���

���

���

��

��

��� ���������������

� ���

����������

���

� ��

����

���

!�

���� ���� � ��� ���

� ���

�����������

������ !���"-.����

/��� !0��-.1��

#23���4"2��4"

Abbildung 5.44: Ausgangskennlinien von in einem Gate-Last -Verfahren hergestellten MOS-Transistoren

mit TiN-Elektrode und Gd2O3-Dielektrikum (C ET=3,2 nm), bestimmt in Gleichspannungs(DC)-Messungen und gepulsten (Pulsed-IV)-Messungen.

Der NMOS-Transistor liefert im Gleichspannungsbetrieb bei einer Gate-Spannung von VG=2,5 Veinen Drain-Strom von ID ≈0,7 mA, der PMOS-Transistor aufgrund der geringeren Beweglich-keit der Löcher und Differenz in der Flachbandspannung ID ≈0,25 mA.Die Bestimmung des Drain-Stroms ID mit einem gepulsten Messverfahren (engl. Pulsed-IV) stei-gert den On-Strom des n-Kanal-Transistors um ca. 9 % und des p-Kanal-Transistors um ca. 28 %.Zur Bestimmung einer gepulsten Strom-Spannungs-Charakteristik wird der MOS-Transistor wiein Abbildung 5.45 skizziert nur mit einem Spannungspuls mit ausreichend kurzen Anstiegszei-ten (tr< 100 ns) an der Gate-Elektrode und einer konstanten Vorspannung am Source-Anschlussbetrieben. Somit können Einfangstellen im Volumen des Dielektrikums, die für eine Degrada-tion des Drain-Stroms im Gleichspannungsbetrieb eines MOS-Transistors verantwortlich sind,der Gate-Spannung zeitlich nicht folgen und man erhält ein „intrinsisches“ Transistorverhaltenmit vernachlässigbarem Einfluss von Ladungseinfang und einem vergleichsweise höheren Drain-Strom und eine daraus resultierender höhere Beweglichkeit der Ladungsträger im Kanal. Wiein Abbildung 5.45b dargestellt, startet der Gate-Puls in einem Spannungsbereich, in dem derTransistor ausgeschaltet und die Gate-Kapazität entladen ist, sich also in Verarmung befindet(1). Der folgende Anstieg der Spannungsrampe führt zum Einschalten des Transistors (2) unddurch Messung des sich einstellenden Drain-Stroms kann eine Strom-Spannungs-Charakteristikzusammengesetzt werden. Während der mit (3) in Abbildung 5.45b bezeichneten Plateaupha-se des Gate-Impulses werden Ladungsträger im Volumen des Dielektrikums eingefangen, was

170 5.7 Bauelemente mit Gd2O3-Dielektrika aus einem MBE-Verfahren

Page 49: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

����������

������ �� �

��������������

�����

������

��

(a) Schematischer Darstellung des Messaufbaus einergepulsten Strom-Spannungs-Messung.

���

� �

��

"����

��

��������� �� ���������������

���� ������������������

��������� ��� ����������������������������

(b) Schematische Darstellung der Formdes Spannungspulses an der Gate-Elektrode und der sich daraus erge-benen Betriebsbereiche.

Abbildung 5.45: Messaufbau und Betriebsbereiche einer gepulsten Strom-Spannungs-Messung.

zu einer Degradation des Drain-Stroms und zu einer Verschiebung der Schwellspannung führt.[237][238]. In Abbildung 5.46 ist ein Gate-Spannungspuls und der sich einstellende Drain-Strompuls eines p-Kanal-Transistors mit Gd2O3-Dielektrikum und TiN-Elektrode bei konstanterDrain-Spannung gezeigt.

� �� � �� � ��� ��� �� ������

����

���

����

���

����

����

567�8�9������:�567��5��9;<�����=�

��9��

��>?77���:�

��������

���

���

K������+�

��������������

���

��

���

���

���

��

���

���

���

'����������

$ '��"�

Abbildung 5.46: Gate-Puls und resultierender Drain-Strom-Puls eines PMOSFETs

Die Pulsform des Drain-Stroms ID wird nicht ideal übertragen und insbesondere vor der fal-lenden Flanke ist ein Überschwingen zu erkennen. Jedoch ist nach linearer Datenglättung einleichter Abfall des Drain-Stroms im Bereich des On-Plateaus zu erkennen, was Be- bzw. Entla-devorgängen von Einfangstellen zugerechnet werden kann. Dieser Effekt ist aufgrund der Zeit-konstanten der Einfangstellen, die deutlich über der Pulsdauer liegt, bei der Gleichspannungs-messung stärker ausgeprägt, was zu einer ausgeprägteren Degradation des On-Stroms führt.

Kapitel 5. Elektrische Charakterisierung 171

Page 50: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

Die Steigung der Unterschwellenkennlinie wurde für p-Kanal-Transistoren mit einem Mittelwertvon SlPMOS=130 mV/dec (bester Wert: SlPMOS=102 mV/dec) bestimmt, n-Kanal-Transistorenweisen eine Unterschwellensteilheit von SlNMOS=123 mV/dec (bester Wert: SlNMOS=94 mV/dec)auf. Das On-Off-Verhältnis beträgt bei den besten Bauelementen Ion/Ioff/ ≈106. Die Hystereseder Unterschwellenkennlinie ist bei p-Kanal-Transistoren stärker ausgeprägt als bei n-Kanal-Transistoren. Diese nimmt ähnlich der Hysterese der Kapazität-Spannungs-Charakteristik vonnMOS-Kondensatoren mit gleichem Gate-Stapel mit zunehmender Zahl von Messzyklen ab, sodass der gleiche Mechanismus der irreversiblen Beladung von Einfangstellen angenommen wer-den kann. Die minimal gemessene Hysterese der Unterschwellenkennlinie beträgt für n- undp-Kanal-Transistoren ΔV ≈10 mV, was eine deutliche Verbesserung zu den im Jahre 2006 her-gestellten Transistoren mit Gd2O3-Dielektrikum und älteren, in einer Gate-First-Technik herge-stellten Transistoren mit Praseodym-Dielektrikum darstellt [239][240].

Die maximale Beweglichkeit der Ladungsträger im Kanalbereich wurde aus der in einer Gleich-spannungsmessung bestimmten Unterschwellenkennlinie für Löcher mit μp=39 cm2/Vs undfür Elektronen μn=110 cm2/Vs wie in Abbildung 5.47 gezeigt bestimmt. Gepulste Messun-gen führten aufgrund des höheren Drain-Stroms zu höheren Werten mit μn=125 cm2/Vs undμp=50 cm

2/Vs.

�� ��� �� ��� ��� �� ����

��

��

��

���

���

=�D������-�������#��+�

5&&95��-���+���+ �� 5�&&�)�����

���������

��� ���

��������������

Abbildung 5.47: Beweglichkeit der Ladungsträger im Inversionskanal, bestimmt in einer

Gleichspannungs- und einer gepulsten Strom-Spannungs-Messung (CET=3,2 nm,

dGd2O3=12,1 nm).

Neben der Leitwert-Methode nach NICOLLIAN kann die Dichte der Grenzflächenzustände Dit anTransistorstrukturen mit der Charge-Pumping-Technik (dt. Ladungspumpen) bestimmt werden.Die Charge-Pumping-Methode zeichnet sich im Vergleich zur Leitwert-Methode durch eine grö-ßere Leckstromtoleranz aus [241]. Von dem zugrundeliegenden physikalischen Effekt bei MOS-Transistoren wurde bereits in den 1960er Jahren von BRUGLER ET AL. berichtet [242], eine zu-verlässige Interpretation der experimentellen Ergebnisse konnte erstmals von GROESENEKEN ET

AL. im Jahre 1984 gegeben werden [243]. Im Abbildung 5.48a ist der Messaufbau der Charge-Pumping-Technik schematisch dargestellt. Die Charge-Pumping-Technik basiert auf der Messung

172 5.7 Bauelemente mit Gd2O3-Dielektrika aus einem MBE-Verfahren

Page 51: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

��

���

��

���� ��!"

(a) Schematischer Aufbau einer Charge-Pumping-Messung.

���

��

������

��

���

��� �

�������� #���$ %&$�'()*� #���$

����������������������������

����

����

��� ��

��� ��

(b) Base-Level-Sweep- und Amplitude-Sweep-Methode.

Abbildung 5.48: Aufbau und Messmethoden der Charge-Pumping-Technik.

des Substratstroms ICP, während am Gate-Kontakt des MOS-Transistors Pulsfolgen mit fixerAmplitude, Anstiegs- und Abfallzeit und Frequenz angelegt werden. Die Source- und Drain-Kontakte sind dabei mit dem Massepotential verbunden. Die gängigsten Messmethoden wei-sen wie in Abbildung 5.48b gezeigt eine fixe Pulsamplitude VAmp mit variabler GrundspannungVBase (sog. Base-Voltage-Sweep) oder eine variable Pulsamplitude VAmp mit fixer GrundspannungVBase (sog. Amplitude-Sweep) auf. Mit der Charge-Pumping-Technik die eingefangene LadungNit nach Gleichung 5.5 bestimmt werden.

Nit =ICP

q f A(5.5)

Dabei ist ICP der gemessene Charge-Pumping-Strom, q die Elementarladung, f die Frequenzder Pulsfolge und A die Fläche des Bauelements. Die Dichte der Grenzflächenzustände Dit kanngemäß 5.6 als Funktion der Bandverbiegung bestimmt werden.

Dit =ICP

qAfΔE(5.6)

ΔE ist die energetische Differenz der Ferminiveaus in Inversion und Akkumulation. Durch Varia-tion der Anstiegs- und Abfallzeiten der Gate-Pulse kann nach GROESENEKEN ET AL. die Verteilungder Grenzflächenzustände in der Bandlücke bestimmt werden [244]. Das Ergebnis einer ener-gieaufgelösten Charge-Pumping-Messungen in Abbildung 5.49 für einen n-Kanal-MOSFET mitGd2O3-Dielektrikum gezeigt. Die Ergebnisse der Charge-Pumping-Messung bestätigen sowohldie nach der Leitwertmethode bestimmte mittlere Dichte der Grenzflächenzustände in der obe-ren und unteren Hälfte der Bandlücke.

Kapitel 5. Elektrische Charakterisierung 173

Page 52: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

���� ��� ���� ��� ��� �� �������

����

'��[��

�/��

��]

����

����

������

!��

��

�+��,��- � +�.�/��,��- �

�����&��������+��� + �����

"<+��� 2�� ��=�� �����5�5=)����

��-�0���1�����

Abbildung 5.49: Energetische Verteilung der Grenzflächenzustände in der Bandlücke des Si-Substrats.

Die Charakteristik wurde mit der Base-Level-Sweep-Methode bestimmt. Mit eingezeichnet

sind die nach der Leitwertmethode bestimmten Werte für Dit ((CET=3,2 nm, dGd2O3=12,1

nm)).

5.7.6 Bauelementalterung

Mit den ersten, im Jahre 2006 hergestellten Gate-Last Bauelementen mit Gd2O3-Dielektrikumund W-Ti-Elektrode konnten Informationen über die Langzeitalterung des Dielektrikums ge-wonnen werden. Abbildung 5.50a zeigt zwei Kapazität-Spannungs-Charakteristiken eines iden-tischen Bauelements mit über vierjährigem zeitlichen Abstand zwischen den Messungen, in de-nen das Bauelement nicht betrieben wurde.

� �� �� �� � � � ���

��

��

��

��

��

���

�������2�����

���������

���

������������������

34���5���617��������8�9�:-�-�������-��18����;�1�<�

�=

� ���

��

���

��

����������

�� ������

��� ���

� �

(a) Kapazität-Spannungs-Verhalten.

��� ��� �� ��� �� �����

��������������������

����������

��������� ���������������������������������������� �

�!��

" ����"

" ��#�"

" ��$�"

" ����"

'����������

$ '��"�

'��������������'���

(b) Ausgangskennlinie.

Abbildung 5.50: Vergleich von Kapazität-Spannungs-Charakteristik sowie Ausgangkennlinie von MOS-

Bauelementen mit Gd2O3-Dielektrikum und W-Ti-Elektrode nach einer vierjährigen Alte-

rungsphase.

174 5.7 Bauelemente mit Gd2O3-Dielektrika aus einem MBE-Verfahren

Page 53: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

Die Oxidkapazität Cox im Vergleich der beiden Messungen um ca. 5 % degradiert. Die Ursachekann entweder in den Unterschieden des Messaufbaus gefunden werden oder die Gd2O3-Schichtist einer chemischen Veränderung unterlegen, was im Folgenden abgeschätzt wird. Das Gd2O3-Dielektrikum wies aufgrund eines nicht optimal eingestellten Sauerstoffpartialdrucks währendder Abscheidung eine ca. 1 nm dünne SiOx -Zwischenschicht zum Substrat auf (vgl. Abbildung5.33a). Rechnerisch entspricht die Degradation einem Wachstum der Zwischenschicht um 0,2nm unter Annahme einer konstanten Permittivität des Dielektrikums von εr,Gd2O3

=16,9 und derSiOx-Zwischenschicht von εr,SiOx

=εr,SiO2=3,9. Die Abflachung der Kapazität-Spannungs-Kurve im

Inversionsbereich stellt keine Degradation dar, sondern wird auf Minoritätsladungsträgergene-ration durch Lichteinfall während der Messung in 2006 zurückgeführt. Abbildung 5.50b zeigtdie Ausgangskennlinien eines Gate-Last n-Kanal-MOS-Transistors vor und nach vierjähriger Al-terung. Im Drain-Strom IDS lassen sich ebenfalls Unterschiede feststellen, die entweder demveränderten Messaufbau oder einer leichten Materialdegeneration zugerechnet werden kön-nen. Als positiv ist die über den Zeitraum erhaltene volle Funktionalität der Bauelemente mitepitaktisch gewachsenem Gd2O3 zu bewerten.

5.7.7 Dynamische CMOS-Strukturen

Im Rahmen dieser Arbeit ist es gelungen, neben statischen Bauelementen auch eine dynamischeCMOS-Struktur mit Gd2O3-Dielektrikum (CET=1,6 nm) in Gate-Last-Technologie zu fertigen.Der 21-stufige Ringoszillator ist in Abbildung 5.51 gezeigt.

�%&'()*+,-

./��

0/��

�0�������

��

���������������������������������

�����������������1�������������� ����������������2��������

(a) Detailansicht und hervorgehobene Inverterstufe 3.

���

����

���

(b) Übersicht

Abbildung 5.51: Rasterelektronenmikroskopische Aufnahmen eines in Gate-Last -Technologie hergestell-

ten 21-stufigen Ringoszillators mit Gd2O3-Dielektrikum und W-Elektrode.

Der Ringoszillator besteht aus einer Reihenschaltung einer ungeraden Anzahl gekoppelter Inver-terstufen. Durch Kopplung des Ausgangs mit dem Eingang der Inverterkette wird die statischeStruktur eines Inverters in eine dynamische Struktur überführt, die nach Anlegen der Versor-gungsspannungen VDD und VSS ohne Fremdanregung mit einer Eigenfrequenz f schwingt. In derhergestellten Ringsozillatorstruktur weisen beide Transistortypen - n-Kanal und p-Kanal- eineeinheitliche Gate-Länge von L=10 μm auf. Die n-Kanal-Transistoren sind mit einer Gate-Weitevon WN=6 μm konzipiert, die p-Kanal-Transistoren mit WP=15 μm. In Abbildung 5.52 ist das

Kapitel 5. Elektrische Charakterisierung 175

Page 54: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

dynamische Verhalten des Ringoszillators gezeigt.

� � � � ������� ������������������������ ������

"�+����++�������� �

����

K������+�

���� �345

��

Abbildung 5.52: Ausgangssignal des Ringoszillators. Die Betriebsspannungen betragen VDD=1,0 V und

VSS=-1,0 V.

Anhand von experimentell gewonnen Transistorparametern von SiO2-Referenztransistoren ausder eigenen Prozesslinie wurde eine Schaltungssimualtion des Ringoszillators in der Planungs-phase durchgeführt und eine Eigenschwingungsfrequenz von f =1,6 MHz bestimmt. Die Fre-quenz des Ringoszillators mit Gd2O3-Dielektrikum entspricht bei symmetrischen Betriebsspan-nungen von VDD=1,0 V und VSS=-1,0 V f =1,24 MHz, was in guter Übereinstimmung zu denberechneten Daten steht. Die gemessene Eigenschwingfrequenz f entspricht einer Periodendau-er von td=0,8 μs, woraus sich eine Verzögerungszeit einer Inverterstufe von τd=2·11/t=36 nsergibt. Die Asymmetrie und degenerierte Kurvenform des Ausgangssignals kann sowohl auf Zu-leitungsverluste und Reflexionen in einem nicht hochfrequenztauglichen Messaufbau als auchdurch Verluste durch das nicht leckstromfreie Dielektrikum erklärt werden.

Somit liegen erstmals Daten einer dynamischen, in Gate-Last-Technologie hergestellten CMOS-Schaltung mit kristallinem Gd2O3-Dielektrikum vor.

Zusammenfassung

Im Kapitel 5 wurden in Gate-First- und Gate-Last-Technik hergestellte MOS-Bauelemente mitverschiedenen High-k-Dielektrika (HfO2, ZrO2, La2O3, Gd2O3) und Metall-Elektroden (W, W-Ti,TiN, Cr, Al) vorgestellt und eine Vielzahl von Bauelement- und Materialparameter mit den in Ka-pitel 2 erläuterten Methoden bestimmt und diskutiert. Die elektrische Dicke C ET der untersuch-ten Schichten liegt zwischen 0,8− 4,2 nm und die Permittivität εr=9,6−15, was einem 2,5−4-fachen Wert der Permittivität von Siliziumoxid entspricht. Der Leckstrom der untersuchten Bau-elemente mit High-k-Dielektrika ist je nach Material um 2−5 Größenordnungen niedriger imVergleich zu einem Bauelement mit Siliziumoxid-Dielektrikum gleicher elektrischer Dicke. Diehöchste Permittivität weisen kristalline Gd2O3-Schichten mit εr=14−15 auf, da diese eine che-

176 5.7 Bauelemente mit Gd2O3-Dielektrika aus einem MBE-Verfahren

Page 55: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

mische Stabilität auf einem Silizium-Substrat aufweisen und im Gegensatz zu den amorphenDielektrika keine Zwischenschicht niedrigerer Permittivität ausbilden. Alle untersuchten Dielek-trika weisen keine Hochtemperaturstabilität für T>800 °C auf. Für HfO2- und ZrO2-Schichtenwird mittels Rasterkraftmikroskopie die Umwandlung vom amorphen in einen hochleitendenpolykristallinen Materialzustand nachgewiesen. Am Beispiel von Gd2O3-Schichten wurde der Ef-fekt der prozessinduzierten Schädigung durch Trockenätzprozesse nachgewiesen. Es wurde ge-zeigt, dass der Mechanismus des Ladungseinfangs an Defekten im Volumen in Gd2O3-SchichtenLöcher-basiert und in HfO2- und ZrO2-Schichten Elektronen-basiert ist. Die Defektdichte an derGrenzfläche zum Substrat wurde mittels Leitwert-Methode und Charge-Pumping-Messungenbestimmt und liegt in der Größenordnung von Dit=0,2−7·1012 cm−2eV−1 und ist abhängig vonAbscheideart und Elektrodenmaterial. In Dielektrika mit hoher Defektdichte wurde fehlstel-lenunterstützes Tunneln als dominanter Stromleitungsmechanismus identifiziert, in Dielektri-ka mit niedriger Defektdichte dominieren direktes und Fowler-Nordheim-Tunneln. Ein Einflussvon weichen dielektrischen Durchbrüchen auf die Steigung der Weibull-Verteilung β wurde anZrO2-Schichten nachgewiesen. Messungen des zeit- und spannungsabhängigen dielektrischenDurchbruchverhaltens liefert für HfO2-, ZrO2- und kristallinen Gd2O3-Schichten eine maxima-le Betriebsspannung VG,MAX>2 V für eine charakteristische Lebensdauer von 10 Jahren. MOS-Transistoren mit Gd2O3-Dielektrikum weisen ein On/Off-Verhältnis von 106, eine Unterschwel-lensteilheit von Sl>94 mV/dec sowie effektive Ladungsträgerbeweglichkeiten μn=110 cm

2/Vsund μp=50 cm2/Vs. Gepulste I-V-Messungen zeigen ein verbessertes Transistorverhalten. DerGd2O3-basierte 21-stufige Ringoszillator schwingt mit einer Eigenfrequenz von f =1,24 MHz.

Im folgenden Kapitel werden nun die verschiedenen Materialien anhand dieser Daten und ver-schiedener Kriterien miteinander verglichen und auf ihre Tauglichkeit als alternative Gate-Stapelfür zukünftige CMOS-Bauelemente hin beurteilt.

Kapitel 5. Elektrische Charakterisierung 177

Page 56: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators
Page 57: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

Kapitel 6Bewertung

179

Page 58: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators
Page 59: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

In Kapitel 6 werden die im Rahmen dieser Arbeit integrierten High-k-Materialien und Metall-Elektroden auf Basis der in Kapitel 5 dargestellten Daten miteinander verglichen und auf dieTauglichkeit als Dielektrikum in zukünftigen CMOS-Generationen hin untersucht. Den Abschlussdieses Kapitels bildet eine Bewertung des im Rahmen dieser Arbeit entwickelten Gate-Last-Prozesses.

6.1 High-k -Dielektrika

In Tabelle 1.1 sind die für einen Vergleich der verschiedenen, im Rahmen dieser Arbeit integrier-ten High-k-Dielektrika relevanten Daten zusammengefasst.

Material

Abscheideart

Bandlücke

E g[eV]

BandabstandzumSi-LeitungsbandΔ

E C[eV]

Gate-Elektrode

Si-Substrattyp

Physikalische

Dicke

d ox[nm]

Elektrische

Dicke

CE

T[nm]

RelativePermittivitätG

ate-Stapelεr

Grenzflächenzustandsdichte

Dit[101

2·cm−2eV−1]

Dichteum

ladbarerVolumenzustände

Not[101

2·cm−2eV−1]

Leckstromdichte@|V

G|=1,5V[A/cm

2]

MittlereDurchbruchfeldstärke

E ox,BD[MV/cm]

Extrap.Betriebsspannung

@t 63%=10a[V]

HfO2 PVD 5,8 1,5 W-Ti p 2,5 1,04 9,3 2,5 4,5 0,05-0,8 - -Gd2O3 PVD 6,0 2,1 W-Ti p 17 4,26 15,8 3,5 3,5 9·10−5 -4,4 -HfO2 ALD 5,8 1,5 W-Ti p 3,3 1,23 10,4 0,58 5,2 7·10−4 -8,366 -1,94ZrO2 ALD 5,7 1,6 W-Ti p 3,3 1,33 9,67 0,53 3,4 5·10−2 -12,0 -1,84La2O3 MBE 6,0 2,3 W p 2,2 0,81 10,2 - - 14-25 - -La2O3 MBE 6,0 2,3 W p 4,0 1,31 12,1 3,0 0,2 0,15-5,2 -9,0 -Gd2O3 MBE 6,0 2,1 TiN p 8,1 2,25 14 - - 1,2·10−6 -3,3 -Gd2O3 MBE 6,0 2,1 TiN n 7,7 2,14 14 - - 4,0·10−6 +7,5 -Gd2O3 MBE 6,0 2,1 TiN p 13,1 3,61 14 - - 0,4·10−6 -2,6 -2,21Gd2O3 MBE 6,0 2,1 TiN n 13,1 3,61 14 - - 1,2·10−6 +5,75 +2,18Gd2O3 MBE 6,0 2,1 W-Ti p 12,0 3,1 15 2,7 - - - -Gd2O3 MBE 6,0 2,1 W-Ti n 12,0 3,1 15 7,3 - - - -Gd2O3 MBE 6,0 2,1 W p 6 1,5 14 0,19 0 5·10−3 - -Gd2O3 MBE 6,0 2,1 TiN p 3,1 0,8 14 - - 1,9 - -

Tabelle 6.1: Elektrische Eigenschaften der untersuchten MOS-Bauelemente mit High-k -Metall-Stapel (Aus-

wahl).

Auf die in die Kapitel 1.3.1 zitierten Anforderungen an ein High-k-Material nach WILK ET AL.[18] werden die verwendeten High-k-Dielektrika im Folgenden miteinander verglichen.

Kapitel 6. Bewertung 181

Page 60: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

Elektronische Eigenschaften

Alle untersuchten Dielektrika weisen eine Bandlücke Eg>5 eV sowie einen ausreichenden Ban-dabstand ΔEC,B>1 eV auf. Dies wurde durch eine Vorauswahl der Dielektrika vor der Prozessie-rung garantiert.

Die Permittivität der untersuchten Materialsysteme ist im Bereich εr ∼10−16. Insbesondereamorphe Dielektrika zeigen bereits nach der Abscheidung auf dem Si-Substrat eine von der Ab-scheidemethode unabhängige Ausbildung einer silikat- und/oder oxidreichen Zwischenschichtniedriger Permittivität, die die Permittivität des gesamten Gate-Stapels als zusätzliche Serien-kapazität senkt. Kristalline Gd2O3-Schichten weisen die höchste Permittivität mit εr=14−15auf. Hochauflösende transmissionselektronenmikroskopische Aufnahmen belegen einen schar-fen Materialübergang an der Grenzfläche der Gd2O3-Schicht zum Si-Substrat ohne Bildung einerZwischenschicht nach dem Aufwachsprozess in einem Molekularstrahlepitaxieprozess. Durchdie schonende Prozessintegration in einem Gate-Last-Verfahren in einem niederen Temperatur-regime kann diese Eigenschaft bis zur Fertigstellung des Bauelements erhalten werden.

In Abbildung 6.1 ist das Leckstromverhalten der untersuchten Proben bei einer Referenzspan-nung |VG|=1,5 V gezeigt.

� � � � ����

����

����

���

����

����

���

��

���6�!

��7�8����5

��!���39�

��!���:"�

��!���39�

;�8�������<����=�76�6�:" "

��

=�76�6�:�7�>��>?�����������������������1

6�!��7�8����5

@�!���;�

48!���:"�

48!���;�

A" A����"�

?��-+���� �����! ��"

���

� �

5��-���+���������� ��-�56����

��!���39�

>�!���39�

Abbildung 6.1: Leckstromverhalten verschiedener MOS-Bauelemente mit High-k -Metall-Stapel. Ebenfalls

dargestellt sind die Anforderungen der ITRS LSTP-Roadmap8der Jahre 2009 - 2013 sowie

Referenzdaten SiO2-basierter Bauelemente.

In der Gruppe der amorphen Dielektrika zeigt die in einem ALD-Verfahren abgeschiedene HfO2-Schicht einen bei annähernd gleicher elektrischer Dicke um zwei Größenordnungen niedrige-ren Leckstrom im Vergleich zu elektronenstrahlverdampften HfO2-Schichten und ZrO2 aus einer8 International Technology Roadmap for Low Standby Power

182 6.1 High-k -Dielektrika

Page 61: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

Atomlagenabscheidung (ALD). Eine Dünnung der Schichtdicke des Dielektrikums im Bereichder Grabenwände wurde als Ursache der schlechteren Isolationseigenschaften der in einemElektronenstrahlverdampfungsverfahren (PVD) abgeschiedenen HfO2-Schicht mittels leitenderRasterkraftmikroskopie (C-AFM) nachgewiesen. Eine veränderte Geometrie der Prozesskammersowie der Einsatz eines Planetengetriebes versprechen verbesserte Schicht- und damit Bauele-menteigenschaften. Aufgrund der hochkonformen Abscheidung ist für die industrielle Anwen-dung das ALD-Verfahren zu bevorzugen. In der Gruppe der in einem Molekularstrahlepitaxie-Verfahren aufgewachsenen Dielektrika weisen La2O3-Schichten bei annähernd gleicher elek-trischer und physikalischer Dicke einen um eine Größenordnung höheren Leckstrom auf alsGd2O3-Schichten. Die Ursache kann nur durch aufwändigere Analysemethoden wie die Untersu-chung der Kristallinität und sowie des Schichtaufbaus geklärt werden. In einem PVD-Verfahrenabgeschiedene Gd2O3-Schichten zeigen ein deutlich schlechteres Isolationsvermögen als kristal-line Gd2O3-Schichten. Dies wird auf die in der Schicht enthalten Kontaminationen sowie Varia-tionen der Schichtdicke zurückgeführt.

Alle hergestellten Proben erfüllen die von der ITRS gestellten Anforderung für den Einsatz inProdukten mit einer niedrigen Leistungsaufnahme im Stand-By-Betrieb. Jedoch ist bei einemVergleich zwischen amorphen und kristallinen Materialien die oxid- bzw. silikathaltige Zwi-schenschicht Zr- und Hf-haltiger Dielektrika zu berücksichtigen, die sich aufgrund ihrer Isolati-onseigenschaften positiv auf das Leckstromverhalten auswirkt. Weiterhin zeigen alle untersuch-ten High-k-Materialien einen um mindestens zwei Größenordnungen niedrigeren Leckstrom alsReferenzbauelemente mit SiO2-Dielektrikum gleicher elektrischer Dicke C ET .

Thermische Stabilität

Die Bildung von polykristallinen Phasen und nanoskaligen, lokalen Veränderungen mit einerhohen Leckstromdichte wurde für die amorphen Materialien ZrO2 und HfO2 schon bei gerin-geren Temperaturen von T=650 °C beobachten. MOS-Kondensatoren mit HfO2-Dielektrikumzeigen eine Zunahme des Gate-Leckstrom um 1−2 Größenordnungen nach einer Temperungbei T=1000 °C sowie eine Degeneration der Kapazität-Spannungs-Charakteristik mit einer Zu-nahme der Oxidkapazität Cox. Eine Reaktion des High-k-Materials mit der W-Elektrode unterBildung einer leitenden W-Hf-Verbindung erscheint wahrscheinlich. Auch die in einem MBE-Verfahren abgeschiedenen Materialien Gd2O3 und La2O3 zeigen Zunahmen der Leckstromdichteund elektrischen Dicke nach einer Temperung bei T>800 °C. Keines der untersuchten Materiali-en erfüllt die Vorgaben der ITRS LSTP-Roadmap nach einem Hochtemperaturschritt (T=1000 °Cfür t=10 s). Die Integration der untersuchten Materialien muss zur Erfüllung der Vorgaben derITRS LSTP-Roadmap in einem materialschonenden Gate-Last-Prozess erfolgen.

Morphologie

Der amorphe bzw. kristalline Schichtaufbau aller Materialien bleibt im Temperaturbereich unternormalen Betriebsbedingungen (T<200 °C) konstant. Erst für Temperaturbereiche T>650 °Cwurden Veränderungen sowohl der amorphen als auch kristalline Dielektrika unter Bildung vonoxid- und silikatartigen Zwischenschichten beobachtet.

Kapitel 6. Bewertung 183

Page 62: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

Qualität der Grenzfläche

Die Qualität der der untersuchten Grenzflächen zwischen High-k-Dielektrika ist quantifizier-bar durch die Dichte an Grenzflächen-Ladungsfangstellen Dit. In Tabelle 6.2 sind die ermitteltenDit-Werte verschiedener Gate-Stapel aufgelistet.

Material Abscheideart Substrattyp Dit τit Not

HfO2 PVD p-Si 2,5·1012cm−2eV−1 16 μs 4,05·1012cm−2

Gd2O3 PVD p-Si 3,5·1012cm−2eV−1 6,3 μs 3,49·1012cm−2

HfO2 ALD p-Si 0,58·1012cm−2eV−1 1,46 μs 5,2·1012cm−2

ZrO2 ALD p-Si 0,53·1012cm−2eV−1 0,80 μs 3,4·1012cm−2

La2O3 MBE p-Si 3,0·1012cm−2eV−1 - -Gd2O3 MBE p-Si 2,7·1012cm−2eV−1 3,53 μs -Gd2O3 MBE n-Si 7,3·1012cm−2eV−1 3,98 μs -Gd2O3 MBE p-Si 0,6·1012cm−2eV−1 3,94 μs -Gd2O3 MBE n-Si 3,2·1012cm−2eV−1 3,98 μs -Gd2O3 MBE* p-Si 0,19·1012cm−2eV−1

* Ge-passivierte Si-Oberfläche

Tabelle 6.2: Dichte der umladbaren Volumen- und Grenzflächenzustände verschiedener MOS-Bauelemente

mit High-k -Metall-Stapeln.

Die in einem ALD-Verfahren abgeschiedenen HfO2- und ZrO2-Dielektrika weisen eine vergleich-weise niedrige Grenzflächenzustandsdichte auf Si-Substraten im Vergleich zu Aufdampfverfah-ren auf. Die Defektdichte der in einem Molekularstrahlepitaxieprozess aufgewachsenen High-k-Materialien La2O3 und Gd2O3 ist im Vergleich um eine Größenordnung höher, kann aber durchFormiergastemperung wiederum um den gleichen Wert reduziert werden. In gleichem Maßewirkt sich bei Gd2O3-Schichten die Abscheidung einer TiN-Elektrode in einem ALD-Verfahrenaus. Das N2/H2-Plasma bei erhöhter Substrattemperatur von T=300 °C führt ähnlich einer Tem-perung in Formiergas zu einer Passivierung der Defekte an der Grenzfläche zwischen Substratund Dielektrikum und im Oxidvolumen. Initial niedrige Defektdichten, die die Anforderungenan zukünftige High-k-Dielektrika erfüllen, wurden für auf einer Ge-passivierten Si-Oberflächeaufgewachsene Gd2O3-Schichten beobachtet.

Kompatibilität zur Prozessen und Materialien

Durch die mangelnde Hochtemperaturstabilität sind die untersuchten Materialen nicht kompa-tibel zu Gate-First-Prozesskonzepten. Weiterhin wurde bei Gd2O3-Schichten eine deutliche Ver-änderung der Kapazität-Spannungs- und Strom-Spannungs-Charakteristik bedingt durch Auf-ladungseffekte bei Plasmaätzprozessen während der Strukturierung des Gate-Stapels im Gate-First-Ansatz beobachtet. Alle untersuchten Materialien zeigten die für einen Gate-Last-Ansatznotwendige Kompatibilität zu Metall-CMP- sowie PECVD-Abscheideprozessen für Isolationsoxi-de. Im Gegensatz zu den Seltenerd-Oxiden Gd2O3 und La2O3 zeigten amorphe HfO2- und ZrO2-Schichten eine temperaturaktivierte Reaktion während der Abscheidung von W-Schichten durchHochfrequenz-Kathodenzerstäuben zu einer dünnen, planarisierresistenten Metallverbindung.

184 6.1 High-k -Dielektrika

Page 63: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

Eine ähnliche Reaktion tritt vermutlich auch während der W-Ti-Abscheidung durch Gleichspannungs-Kathoden- zerstäuben auf, diese ist jedoch aufgrund der deutlich kürzeren Prozesszeit nicht sostark ausgeprägt. Die bei Seltenerd-Oxiden bekannte Aufnahme von Feuchtigkeit aus der Um-gebungsluft, wie sie bei Bruch des Vakuums zwischen High-k-Deposition und Abscheidung desElektrodenmaterials auftreten kann, ist bei La2O3-Schichten sehr stark ausgeprägt [245]. Die-se Eigenschaft setzt bei der Prozessintegration den Einsatz von Mehrkammersystemen voraus.Gd2O3-dielektrika konnte diese negative Eigenschaft nicht nachgewiesen werden. Die unter-suchten Proben zeigten keine Degeneration der elektrischen Schichteigenschaften auch nachmehrtägiger Lagerung unter Atmosphärenbedingungen.

Im Gegensatz zu den ALD-Verfahren zur Abscheidung von amorphen Dielektrika sind ist einMBE-Verfahren unter Ultrahochvakuum-Bedingungen, das zum Aufwachsen der untersuchtenGd2O3- und La2O3-Schichten angewendet wurde, aufgrund des geringen Durchsatzes und derdamit verbundenen Kosten nicht tauglich für die industrielle Massenfertigung. Jüngst wur-de allerdings von RANJITH ET AL. ein ALD-Verfahren zur Abscheidung von kristallinen Gd2O3-Schichten mit vielversprechenden Schichteigenschaften vorgestellt [246].

Zuverlässigkeit

Für eine Beurteilung der Zuverlässigkeit der vorliegenden High-k-Dielektrika bezüglich der elek-trischen Eigenschaften wurde als erste Abschätzung die elektrische Feldstärke des spontanendielektrischen Durchbruchs Eox,BD in einem Rampen-I-V-Verfahren bestimmt und in Abbildung6.2 für pMOS-Kondensatoren dargestellt.

Abbildung 6.2: Vergleich der elektrischen Durchbruchsfeldstärken Eox,BD verschiedener pMOS-

Bauelemente mit High-k -Metall-Stapel.

ZrO2-Schichten der physikalischen Dicke dox=3,3 nm weisen die höchste Durchbruchsfestig-keit auf p-Si-Substraten mit Eox,BD=12 MV/cm auf. Alle untersuchten Gate-Stapel weisen eineDurchbruchsspannung deutlich größer der Betriebsspannung (> Faktor 2) auf, so dass eine hoheZahl an Frühausfallen aufgrund eines spontanen dielektrischen Durchbruchs bei normalen Be-triebsbedingungen nicht zu erwarten ist. Bei Dielektrika mit einem C ET<2,5 nm weisen Gd2O3

und HfO2 eine leicht niedrigere elektrische Durchbruchsfeldstärke als SiO2 (Eox,BD=10 MV/cm)

Kapitel 6. Bewertung 185

Page 64: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

auf, die elektrische Durchbruchsfeldstärke von ZrO2 liegt oberhalb dieser Marke. Aus Messun-gen zum zeitabhängigen dielektrischen Durchbruch wurden Werte der maximalen Stressspan-nungen VG,Stress ermittelt, die eine charakteristische Lebensdauer von 10 Jahren und damitLangzeitstabilität erwarten lassen. Die extrapolierten Spannungswerte für HfO2-, ZrO2- undGd2O3-Dielektrika liegen über dem Niveau der zu erwartenden Betriebsspannung in zukünf-tigen CMOS-Generationen. Durch die große Fläche der Teststrukturen sind die aufgetretenenBauelementausfälle von extrinsischen Defektmechanismen dominiert. Der Betrieb eines MOS-Kondensators mit negativer Gate-Spannung und damit verbunden eine Injektion von Löchernaus dem Substrat in die Oxidschicht schädigt ein Gd2O3-Dielektrikum bei gleicher Feldbelastungstärker als der Betrieb bei positiver Gate-Spannung. Beschleunigte Messungen bei erhöhter Tem-peratur bestätigen diese Beobachtung, die Langzeitausfälle und Instabilität der Bauelementpa-rameter wie Schwellspannung tendenziell eher bei PMOS-Transistoren erwarten lässt.

Die guten elektrischen Eigenschaften der amorphen Dielektrika ZrO2 und HfO2 konnten aufdie im Rahmen dieser Arbeit hergestellten Gate-Last-Bauelemente übertragen und durch dieschonende Prozessintegration in einem niedrigerem Temperaturregime verbessert werden. DerNachteil der Instabilität auf Si-Substrat beschränkt allerdings den Einsatz auf Technologiege-nerationen mit der Forderung nach einem C ET>0,6 nm. Für elektrisch dünnere Dielektrikaals High-k-Materialien zweiter Generation kommt nur der Einsatz kristalliner Dielektrika wieGd2O3 in Frage, die ohne eine Zwischenschicht aufgewachsen werden können. Neben dieser Ei-genschaft zeigt Gd2O3 vielversprechende elektrische Eigenschaften, die die Anforderungen derIRTS-Roadmap für die nächsten Jahre erfüllen und die bei einer Integration in eine industri-elle Prozesslinie weiter verbessert werden können. Ein weiterer Schritt in die Massenfertigungvon Gd2O3 wurde durch die Entwicklung eines ALD-Prozesses geschaffen. Lediglich eine füreinen Gate-First-Ansatz nicht ausreichende Hochtemperaturstabilität wurde für Gd2O3 festge-stellt, diese Anforderung ist allerdings durch die Möglichkeit einer materialschonenden Gate-Last-Prozessintegration kein Ausschlusskriterium mehr.

6.2 Metall-Elektroden

Im Rahmen dieser Arbeit wurden verschiedene Metalle auf ihre Tauglichkeit als Gate-Elektroden-material in Verbindung mit High-k-Dielektrika untersucht. Unedle Metalle mit niedriger Aus-trittsarbeit, wie sie für NMOS-Bauelemente notwendig sein, weisen keine ausreichende Stabili-tät auf einem High-k-Dielektrikum auf, wie der Integrationsversuch von Al-Elektroden auf einerGd2O3-Schicht gezeigt hat. Edle Metalle mit hoher Austrittsarbeit wie Pt und Pd zeigen Problemebei der Prozessintegration, insbesondere bei der nass- und trockenchemischen Strukturierungbzw. Entfernung. Weiterhin sind diese Metalle nicht in einem CMP-Verfahren zu planarisierenund zeigen ein mangelndes Haftungsvermögen in Kontakt mit dem CMP-Metall Wolfram. Mid-Gap-Metalle und -Metallverbindungen, insbesondere W, W-Ti und TiN, zeigten die besten Ergeb-nisse in Bezug auf Integrierbarkeit. Durch Gleichspannungs-Kathodenzerstäuben abgeschiede-nes W-Ti ist sehr porös und daher nicht empfehlenswert für die Verwendung als Gate-Metall ineinem Gate-Last-Prozess, da das unterliegende Dielektrikum während des Planarisierungspro-zesses nicht ausreichend vor den aggresiven CMP-Chemikalien geschützt wird. Positiv zu wer-ten ist die hohe Abscheiderate des Gleichspannungs-Kathodenzerstäuben, das die thermische

186 6.2 Metall-Elektroden

Page 65: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

Belastung des Substrates zeitlich minimiert. Durch Hochfrequenz-Kathodenzerstäuben oder ineinem Aufdampfverfahren abgeschiedenes reines W weist eine deutlich höhere Dichte auf undist daher als Gate- und CMP-Metall geeignet, kann aber aufgrund der geringen Abscheideratenur in dünnen Schichten (d<100 nm) abgeschieden werden. Die vergleichsweise lange Pro-zesszeit führt bei Abscheidung auf amorphen ZrO2- und HfO2-Dielektrika zur Ausbildung einerMetalllegierung, die nicht mittels chemisch-mechanischen Planarisieren entfernt werden kann.Die untersuchten W- und W-Ti-Schichten weisen keine für einen Gate-First-Prozess ausreichen-de Hochtemperaturstabilität auf. Bei Temperung in N2-Atmosphäre wurde die Umwandlung ei-ner W-Schicht in eine nichtleitende WOx -Schicht beobachtet. Als chemisch und thermisch sta-bil hat sich TiN erwiesen, das in einem ALD-Verfahren auf Gd2O3 abgeschieden wurde. DerN2/H2-plasmagestützte Abscheideprozess führte zu einer Passivierung von Grenzflächen- undVolumendefekten in der Gd2O3-Schicht. Da die Austrittsarbeit der Gate-Elektrode und damitdie Schwellspannung eines MOS-Transistors durch Einbringen dünner La2O3, AlN oder Al2O3

zwischen Metall und Dielektrikum präzise eingestellt werden kann, ist TiN klar allen anderenMetallen und Metallverbindungen als Elektrodenmaterial für zukünftige CMOS-Generationenvorzuziehen.

6.3 Prozesskonzepte

Im Rahmen dieser Arbeit wurde für die Integration von High-k-Dielektrika undMetall-Elektrodenin MOS-Bauelemente ein Herstellungsverfahren nach dem Gate-Last-Ansatz entwickelt und an-gewendet als auch Referenzbauelemente in der Gate-First-Standardtechnologie gefertigt. BeideProzesskonzepte unterscheiden sich im Zeitpunkt der Einbringung von Dielektrikum und Metall-Elektrode in die Prozessfolge. In Tabelle 6.3 sind die ermittelten Vor- und Nachteile der im Rah-men dieser Arbeit angewendeten Prozesskonzepte vergleichend aufgeführt.

Prozesskonzept Vorteile Nachteile

Gate-First ausgereifte Standardtechnologie inindustrieller und universitärer An-wendung

prozessbedingte Degeneration vonsensitiven Dielektrika und Metall-Elektroden

Gate-Last- keine prozessbedingte Degene-ration sensitiver Dielektrika undMetall-Elektroden

Umfangreiche Prozessentwicklungnotwendig

Integration von verschiedenenMetall-Elektroden für NMOS undPMOSFETs möglich

Vergleichsweise hohe Prozesskom-plexität

Tabelle 6.3: Vor- und Nachteile von Gate-First- und Gate-Last -Prozesskonzepten für die Herstellung von

MOS-Bauelementen.

Der Gate-First-Ansatz, der seit den Anfängen der Mikroelektronik in den 1960er Jahren fastausschließlich bei der Herstellung von MOS-Bauelementen und -Schaltungen angewendet wirdund als technologisch ausgereift bezeichnet werden kann, basiert auf der Abscheidung von Di-elektrikum und Elektrodenmaterial bereits zu Beginn des Gesamtherstellungsprozesses. Damitverbunden ist eine Exposition des Schichtstapels gegenüber Hochtemperatur- und hochenerge-

Kapitel 6. Bewertung 187

Page 66: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

tischen Trockenätzprozessen. Das Materialsystem Poly-Si/SiO2 wurde in den letzten Jahrzehn-ten als elementarer Teil eines MOS-Kondensators nicht zuletzt aufgrund der hervorragendenEigenschaften der Hochtemperaturstabilität und der Möglichkeit einer Ausheilung von Plasma-schäden sowie einer daraus resultierenden Prozesskompatibilität zum Gate-First-Konzept be-vorzugt verwendet. Die Integration von High-k-Dielektrika als notwendiger SiO2-Ersatz in zu-künftigen Technologie-Generationen ist jedoch an einen materialabhängiges Temperaturbud-get gekoppelt, das mit den Anforderungen eines Gate-First-Prozesses (T=1000 °C, t=10 s)nicht vereinbar ist. Alle im Rahmen dieser Arbeit untersuchten High-k-Dielektrika zeigten ei-ne stärke Materialdegeneration für Temperaturen T>650 °C, die auf Reaktionen mit dem Si-Substrat, der Metall-Elektrode oder weitere Diffusionsprozesse zurückgeführt werden konnte.Auch Metall-Elektroden aus W, W-Ti und Al zeigten keine für einen Gate-First-Ansatz ausrei-chende Hochtemperaturfestigkeit. Eine Veränderung der elektrischen Eigenschaften, insbeson-dere der Kapazität-Spannungs- und Strom-Spannungs-Charakteristik, die auf Materialschädi-gung während des trockenchemischen Strukturierungsprozesses zurückgeführt wird, wurde fürMOS-Kondensatoren mit Gd2O3-Dielektrikum beobachtet. Diese ist durch die fehlende Möglich-keit einer Temperaturnachbehandlung im Gegensatz zu SiO2-Dielektrika nicht reversibel.

Der im Rahmen dieser Arbeit entwickelte Gate-Last-Herstellungsprozess basiert auf einem spä-ten Einbringen von Dielektrikum und Metall-Elektrode in den Herstellungsprozess. Die Ma-terialeigenschaften des High-k-Metall-Schichtstapels bleiben durch diese schonende Form derProzessführung erhalten, da alle schädigenden Prozessschritte mit einer Dummy-Struktur alsPlatzhalter für den empfindlichen High-k-Metall-Stapel durchgeführt werden. Der Aufbau unddie Übertragung von Position und Form des Platzhalters auf den High-k-Metall-Stapel erhöhtjedoch die Komplexität des Herstellungsprozesses merklich. Wie Anhang A entnommen wer-den kann, ist in der dieser Arbeit zugrunde liegenden, universitären Prozesslinie für die Her-stellung eines einfachen MOS-Transistors ohne Isolationsprozess in Gate-Last-Technologie dieBearbeitung von 108 Prozessschritten notwendig. Die Fertigung eines MOS-Transistors gleichenTyps erfordert nach dem Gate-First-Ansatz lediglich 58 Prozessschritte, was einen Mehraufwand75 % für die materialschonendere Variante bedeutet. Dieser ist jedoch gerechtfertigt, betrach-tet man die im Rahmen dieser Arbeit gewonnen Ergebnisse. Alle untersuchten High-k-Metall-Schichtstapel zeigten keine prozessbedingte Materialdegeneration und die hergestellten Bau-elemente sind voll funktional. Die beobachtete Reaktion von HfO2- und ZrO2-Schichten mit derW-Metallelektrode wäre ohne die schonende Prozessführung unentdeckt geblieben.

Für die Untersuchung der unverfälschten elektrischen Eigenschaften von Schichtstapeln mitHigh-k-Dielektrikum und Metall-Elektroden auf Transistorebene ist somit eine Prozessführungnach dem Gate-Last-Prinzip die einzige Wahl. In der industriellen Massenfertigung ist nacheiner totalen Ablehnung des Prozesskonzeptes zum Zeitpunkt des Beginns dieser Arbeit derWandel hin zu Gate-Last-Konzepten erst seit Ende 2010 erkennbar. Da die Anforderungen derITRS-Roadmap es zulassen, findet der Wechsel schrittweise von einem Gate-First-Prozess überdie Mischform eines „High-k-First-Metal-Gate-Last“ statt. Der Wandel hin zu einem Gate-Last-Prozess wird jedoch spätestens mit einer notwendigen Einführung eines High-k-Dielektrikumder zweiten Generation, wie im Rahmen dieser Arbeit untersucht, abgeschlossen sein müssen.

188 6.3 Prozesskonzepte

Page 67: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

Kapitel 7Zusammenfassung undAusblick

189

Page 68: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators
Page 69: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

Der weltweite technische Fortschritt der letzten Jahrzehnte ist an eine stetige Leistungssteige-rung und Miniaturisierung mikroelektronischer Bauelemente und Schaltreise gekoppelt. Nachfast fünfzigjähriger Erfolgsgeschichte stößt das Materialsystem Poly-Si/SiO2 als elementarerBestandteil jedes MOS-Bauelements jedoch an physikalische Grenzen. Die Eigenschaft einerim Vergleich zu SiO2 höheren dielektrischen Leitfähigkeit eines High-k-Materials ermöglichtdie Integration einer physikalisch dickeren Schicht mit niedrigeren Leckströmen bei gleicherelektrischer, SiO2-äquivalenter Schichtdicke. Metall-Elektroden weisen im Gegensatz zu Poly-Si-Elektroden eine ausreichende Stabilität im Kontakt mit High-k-Materialien auf und verbesserndie Parameter von MOS-Transistoren wie Schaltgeschwindigkeit oder Stromleitung durch weite-re positive Eigenschaften wie einem niedrigen elektrischen Widerstand. Somit können durch dieIntegration von High-k-Dielektrika und Metall-Elektroden die aufgetretenen Grenzen überwun-den und der Trend einer stetigen Zunahme der Komplexität mikroelektronischer Schaltungenfortgesetzt werden.

Der Integration von High-k-Dielektrika und Metall-Elektroden in MOS-Bauelemente ist jedochan die Entwicklung neuer, materialschonender Herstellungsverfahren gekoppelt. Insbesonde-re Hochtemperaturprozesse, die mit zu den elementaren Bestandteilen der Transistorfertigungzählen, führen zu einer signifikanten Materialdegenration und zu einer Verschlechterung derelektrischen Bauelementeigenschaften bis hin zum Verlust der Funktionalität.

In der vorliegenden Arbeit wurde ein neuartiger und materialschonender Herstellungsprozessfür MOS-Kondensatoren und -Transistoren in einer universitären Prozesslinie entwickelt undoptimiert. Ein Arbeitspaket bildete die Evaluierung eines geeigneten Isolationsverfahrens fürdie Herstellung von dynamischen Schaltkreisen, das die hohen Anforderungen an die Planari-tät der Substratoberfläche erfüllt. Weiterhin wurde der Schichtenstapel der Dummy-Elektrodeoptimiert, mit dem alle schädigenden Prozessschritte durchgeführt werden. Durch die Ver-wendung einer in einem Niedertemperaturverfahren abgeschiedenen Poly-Si-Schicht konnteder Schichtaufbau vereinfacht und die Integration eines Si3N4-Seitenwandschutzes des Gate-Grabens erreicht werden, was zu einer Verbesserung der Maßhaltigkeit der Gate-Elektrode führ-te. Probleme der Entfernung der Dummy-Struktur bei p-Kanal-Transistoren durch eine inho-mogene Bor-Dotierung konnte durch die Entwicklung einer Doppellacktechnik gelöst werden.Ein weiterer Schwerpunkt der Arbeit bildete die Entwicklung und Optimierung von chemisch-mechanischen Polierprozessesen für SiO2- und W-Schichten mit der Untersuchung und Eva-luierung verschiedener Polierchemikalien und Materialien, der Entwicklung einer geeignetenEndpunktdetektion, der Optmierung des Chip-Layouts hinsichtlich des Polierprozesses und derSubstrat-Reinigungsroutine nach der Planarisierung. Ein zweistufiger Prozess, der die Vorteileunter Ausblendung der Nachteile von Polierchemikalien mit hoher bzw. niedriger Selektivitätvereint, ist das Ergebnis der Optimierung des Planarisierungsprozess für SiO2-Schichten. Einähnliches Resultat wurde für den Planarisierungsprozess für die Definition der Metall-Elektrodeerarbeitet. Hier wurden die Vorzüge von in verschiedenen Abscheideverfahren deponierte W-und W-Ti-Schichten miteinander vereint. Ergänzt wurde das Prozessmodul zur Herstellung derMetall-Elektrode durch Entwicklung einer Methode zur Integration weiter alternativer Metalleund Metallverbindungen wie TiN, für die auf dem Markt kein geeignetes Poliermittel verfügbarist. Letztlich wurden alle neuentwickelten Einzelprozesse und modifizierte Standardprozessezu einem Gesamtherstellungsprozess zusammengeführt, der in seiner komplexesten Form fürdie Herstellung eines Schaltkreises 130 Einzelschritte umfasst. Die Skalierbarkeit des Herstel-

Kapitel 7. Zusammenfassung und Ausblick 191

Page 70: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

lungskonzeptes wurde durch die Herstellung von Dummy-Elektroden mittels Elektronenstrahl-lithographie, Anpassung des Planarisierungsprozesses sowie der Untersuchung der Schichtei-genschaften einer aufgewachsenen Gd2O3-Schicht in Strukturen mit einer Breite von ∼100 nmnachgewiesen.

Der entwickelte Herstellungsprozess diente als Grundlage für die materialschonende Fertigungvon MOS-Kondensatoren und -Transistoren mit verschiedenen High-k-Dielektrika und Metall-Elektrode in der institutseigenen Prozesslinie. Die Abscheidung bzw. das Aufwachsen der High-k-Materialien erfolgte im Rahmen des BMBF-Verbundprojekts MEGAEPOS (FKZ 13N9259) amInstitut für Materialien und Bauelemente der Mikroelektronik der Leibniz Universität Hannover(Gd2O3), bei universitären Partnern in den USA und Irland im Rahmen von Forschungskoope-rationen (HfO2, ZrO2, La2O3) sowie in der eigenen Prozesslinie (Gd2O3). Die Metall-VerbindungTiN als Elektrodenmaterial wurde vom Projektpartner AMO GmbH in Aachen aufgebracht.

Für die Parameterbestimmung und elektrische Charakterisierung der hergestellten Bauelementewurde ein den hohen Anforderungen moderner Messtechnik genügender Messplatz aufgebautund entsprechende Charakterisierungsmethoden und -standards entwickelt und eingerichtet.

MOS-Bauelemente mit in einem Aufdampfprozess abgeschiedenen HfO2-Dielektrikum der elek-trischer Dicke C ET=2,5 nm und W-Ti-Elektrode zeigen eine niedrige Hysterse in der Kapazität-Spannungs-Charakteristik und mit Dit=2,5·1012 cm−2eV−1 eine moderate Dichte an Grenzflä-chenzuständen sowie eine Permittivität des Gate-Stapels von εr,HfO2

=9,3. Trotz dem Prozessie-rung der Proben in einem niedrigen Temperaturregime weisen die Bauelemente eines Zwischen-schicht niedriger Permittivität der Dicke 0,6 nm an der Grenzfläche zum Si-Substrat auf, diebereits während der HfO2-Abscheidung gewachsen ist und sich somit nicht vermeiden lässt. Dassehr hohe Leckstromniveau dieser Proben ist durch eine Abschattung des HfO2-Teilchenstromsan den Grabenwänden der vorstrukturierten Substrate während der Abscheidung begründet.Dieser Effekt konnte durch Rasterkraftmikroskopie mit leitfähiger Messspitze nachgewiesenwerden und durch eine erhöhte Substrattemperatur bei der Abscheidung zwar reduziert, jedochin der verwendeten Anlage nicht vermieden werden. Werden die gemessenen Ausgangskennli-nien um den Leckstrom korrigiert, zeigt sich ein vielversprechendes Transistorverhalten.

Eine deutliche bessere Konformität der High-k-Schicht und damit einen niedrigeren Leckstromzeigen Bauelemente mit in einem ALD-Verfahren abgeschiedenen HfO2- und ZrO2-Dielektrikumund W-Ti-Elektrode. Die Permittivität der Gate-Stapel sind durch die nachgewiesene Ausbildungeiner Zwischenschicht während der Abscheidung reduziert und mit εr,HfO2

=10,4 bzw. εr,ZrO2=9,7

bestimmt worden. Die Defektdichte an der Grenzfläche ist bei beiden Dielektrika mit Dit=5−6·1011 cm−2eV−1 um eine Größenordnung niedriger als bei HfO2-Schichten aus einem Aufdampf-verfahren. Eine charakteristische Lebenszeit von 10 Jahren wurde für MOS-Bauelemente auf p-Si-Substrat bei einer extrapolierten Betriebsspannung von VDD,HfO2

=-1,94 V für HfO2-Dielektrikabzw. VDD,ZrO2

=-1,84 V für ZrO2-Dielektrika bestimmt.

MOS-Kondensatoren mit in einem MBE-Prozess aufgewachsenen La2O3-Dielektrikum und W-Elektrode zeigen eine Permittivität von εr,La2O2

=10,2−12 sowie einen Vergleichsweise hohenLeckstrom. La2O3 zeigt keine Stabilität auf Si-Substraten und reagiert mit Luftfeuchtigkeit, waseinen bedeuteten Nachteil im Hinblick einer einfachen Prozessintegration bedeutet.

192 Kapitel 7. Zusammenfassung und Ausblick

Page 71: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

Die untersuchten Proben mit La2O3-, HfO2- und ZrO2-Dielektrikum erfüllen die Anforderun-gen bezüglich SiO2-äquivalenter Dicke und Leckstrom der ITRS LSTP-Roadmap für das Jahr2011. Durch die unkontrollierte Ausbildung einer Zwischenschicht niedriger Permittivität aufSi-Substrat bei der Abscheidung sind für die Dielektrika La2O3, HfO2 und ZrO2 die verschärftenVorgaben einer elektrischen Dicke von C ET<0,6 nm für High-k-Dielektrika der zweiten Gene-ration in zukünftige Technologiegenerationen nicht mehr erfüllbar.

Hochauflösende transmissionselektronenmikroskopische Aufnahmen belegen einen scharfen Ma-terialübergang einer in einem MBE-Prozess epitaktisch gewachsenen Gd2O3-Schicht auf einemSi-Substrat. Die Permittivität des Gd2O3-Dielektrikums wurde bei verschiedenen Proben mitεr,Gd2O3

=14−15 bestimmt. Die Defektdichte an der Grenzfläche zum Si-Substrat wurde für W-Ti/Gd2O3-pMOS-Kondensatoren mit Dit=2, 7·1012 cm−2eV−1 bestimmt. Bauelemente mit glei-chem Substrat und Dielektrikum sowie einer TiN-Elektrode zeigen eine niedrigere Defektdichtean der Grenzfläche zum Si-Substrat mit Dit=6,3·1011 cm−2eV−1. Die plasmaaktivierte N2/H2-Atmosphäre während der TiN in einem ALD-Prozess führt zu einer Passivierung der Defek-te an der Grenzfläche und im Oxidvolumen. Die niedrigste Defektdichte an der Grenzflächezum Si-Substrat wurde mit Dit=2,0·1011 cm−2eV−1 für Proben mit einer Ge-passivierten Sub-stratoberfläche beobachtet. Charge-Pumping-Messungen zeigen eine um den Faktor 3 höhereDefektdichte in der oberen Hälfte der Si-Bandlücke im Vergleich zum unteren Teil der Band-lücke. Rampen-IV-Messungen und Messungen des stressinduzierten Leckstroms sowie stressin-duzierter Verschiebung der Flachbandspannung bei erhöhter Temperatur zeigen unabhängigvoneinander einen schädigerenden Einfluss einer Injektion von Löchern aus dem Substrat in dieGd2O3-Schicht im Vergleich zur Elektroneninjektion. Eine charakteristische Lebenszeit mehr als10 Jahren wurde für MOS-Bauelemente auf p- und n-Si-Substrat bei einer extrapolierten Be-triebsspannung von |VDD|<2,2 V bestimmt. Die hergestellten p- und n-Kanal-Transistoren sindvoll funktional und zeigen in Hinblick auf Steilheit und Hysterese der Unterschwellenkennlinie(SlNMOS=94 mV/dec, SlPMOS=102 mV/dec), On/Off-Verhältnis ( >106) und Ladungsträgerbe-weglichkeit (μn=110 cm2/Vs, μp=39 cm2/Vs) gute Werte. Gepulste IV-Messungen zeigen einezeitabhängige Degradation des Drain-Stroms durch den Einfluss von Grenzflächenzuständen,die zu einer Unterschätzung der Ladungsträgermobilität führt. MOS-Transistoren zeigen nacheiner vierjährigen Lagerung unter normaler Atmosphärenbedingung keine Degradation der elek-trischen Parameter. Ein 21-stufiger Ringoszillator mit kristallinem Gd2O3-Dielektrikum und TiN-Elektrode konnte in Gate-Last-Technologie realisiert werden. Dieser ist funktional und weist eineEigenfrequenz von f =1,24 MHz auf. Somit konnten im Rahmen dieser Arbeit erstmals dynami-sche CMOS-Strukturen mit kristallinem High-k-Dielektrikum hergestellt werden.

Keine der untersuchten High-k-Materialien zeigt eine für einen Gate-First-Prozess ausreichen-de Hochtemperaturstabilität. Mit der C-AFM-Technik konnte bei ZrO2- und HfO2-Schichten einetemperaturabhängige Materialdegeneration unter Ausbildung hochleitender punktueller Strom-pfade nachgewiesen werden. Somit ist eine Prozessintegration von High-k-Dielektrika undMetall-Elektroden unter Erhalt der elektrischen Eigenschaften nur mit dem im Rahmen dieser Arbeitentwickelten Gate-Last-Prozess möglich.

Die Ergebnisse dieser Arbeit zeigen, dass kristallines Gd2O3 ein sehr hohes Potential für denEinsatz als High-k-Dielektrikum der zweiten Generation zeigt. Durch die kürzlich vorgestellte

Kapitel 7. Zusammenfassung und Ausblick 193

Page 72: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

Entwicklung eines ALD-Aufwachsprozesses ist eine Integration in eine Fertigung im industriel-len Maßstab möglich und durch die Vorreiterrolle des Marktführers Intel in den letzten Jahrensteigt die Akzeptanz und die Wahrscheinlichkeit einer großflächigen Einführung des Gate-Last-Prozesskonzepts.

In der universitären Anwendung kann der im Rahmen dieser Arbeit entwickelte materialscho-nende Gate-Last-Prozess als universelle und materialunabhängige Plattform zur weiteren Evalu-ierung von High-k-Dielektrika und Metall-Elektroden auf Transistorebene genutzt werden. Ins-besondere das in einem ALD-Verfahren abgeschiedene Gd2O3 und weitere Seltenerd-Oxide stel-len interessante Kandidaten für weitere Arbeiten dar. Ein weiteres mögliches Anwendungsgebietder Gate-Last-Technologie ist die Herstellung von Sensoren auf Basis temperaturempfindlicherreaktiver Elektrodenmaterialien oder Dielektrika. Durch die Vorfertigung der Transistorstrukturmit einer Dummy-Struktur muss zur Fertigstellung des Sensor lediglich der Funktionswerkstoffauf dem Substrat abgeschieden werden. Reagiert die Elektrode oder das Dielektrikum sensitivmit einem Gas- oder Flüssigkeitsmolekül, führt dies zu einer Potentialänderung an der Steuer-elektrode des Feldeffekttransistors und damit zu einer messbaren Änderung des Drain-Stroms.

194 Kapitel 7. Zusammenfassung und Ausblick

Page 73: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

Literaturverzeichnis

[1] J. E. Lilienfeld, “Method and apparatus for controlling electric currents,” United States of America Patent US1 745175, 1926.

[2] O. Heil, “Improvements in or relating to electrical amplifiers and other control arrangements and devices,”Great Britain Patent GB 439457 (A), 1934.

[3] G. K. Teal and J. B. Little, “Growth of germanium single crystals,” Physical Review, vol. 78, no. 5, p. 647,1950.

[4] D. Kahng, “A historical perspective on the development of MOS transistors and related devices,” IEEE Tran-sactions on Electron Devices, vol. 23, no. 7, pp. 655–657, 1976.

[5] J. Kilby, “Miniaturized electronic circuits,” United States of America Patent US 3138 743 (A), 1964.

[6] R. Noyce, “Semiconductor device-and-lead structure,” United States of America Patent US 2981 877 (A),1961.

[7] G. E. Moore, “Cramming more components onto integrated circuits,” Electronics, vol. 38, no. 8, pp. 114 –117, 1965.

[8] Intel, “60 years of the transistor: 1947 - 2007,” 2011. [Online]. Available: http://www.intel.com/technology/timeline.pdf

[9] M. L. Green, E. P. Gusev, R. Degraeve, and E. L. Garfunkel, “Ultrathin (<4 nm) SiO2 and Si-O-N gate dielec-tric layers for silicon microelectronics: Understanding the processing, structure, and physical and electricallimits,” Journal of Applied Physics, vol. 90, pp. 2057 – 2121, 2001.

[10] “International Semiconductor Technology Roadmap,” 2009. [Online]. Available: http://www.itrs.net/Links/2009ITRS/Home2009.htm

[11] J. Segura and C. F. Hawkins, CMOS Electronics: How It Works, How It Fails. Wiley-IEEE Press, 2004.

[12] B. Kaczer, H. J. Im, and J. P. Pelz, “Investigation of ultrathin SiO2 film thickness variations by ballistic electronemission microscopy,” Journal of Vacuum Science & Technology B, vol. 16, no. 4, pp. 2302–2307, 1998.

[13] H. Chenming, “Gate oxide scaling limits and projection,” in Technical Digest, International Electron DevicesMeeting, 1996, pp. 319–322.

[14] K. F. Schuegraf, C. C. King, and C. Hu, “Impact of polysilicon depletion in thin oxide MOS technology,” inProceedings of Technical Papers, International Symposium on VLSI Technology, Systems and Applications, 1993,pp. 86 – 90.

[15] F. Gámiz, A. Godoy, J. B. Roldán, J. E. Carceller, and P. Cartujo, “Effect of polysilicon depletion charge onelectron mobility in ultrathin oxide MOSFETs,” Semiconductor Science and Technology, vol. 18, no. 11, p.927, 2003.

[16] C. Kyono, T. Brozek, and V. Ilderem, “Boron penetration effect on gate oxide reliability of 50 Å PMOSdevices,” Microelectronics Reliability, vol. 40, pp. 637–640, 2000.

[17] S. Matsuda, H. Yamakawa, A. Azuma, and Y. Toyoshima, “Performance improvement of metal gate CMOStechnologies,” in Digest of Technical Papers, VLSI Symposium on Technology, 2001, pp. 63–64.

[18] R. M. Wallace and G. Wilk, “High-k gate dielectric materials,” MRS Bulletin, vol. 27, no. 3, pp. 192 – 197,2002.

195

Page 74: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

[19] J. D. Plummer and P. B. Griffin, “Material and process limits in silicon VLSI technology,” Proceedings of theIEEE, vol. 89, no. 3, pp. 240–258, 2001.

[20] D. J. Frank, Y. Taur, and H. S. P. Wong, “Generalized scale length for two-dimensional effects in MOSFETs,”IEEE Electron Device Letters, vol. 19, no. 10, pp. 385–387, 1998.

[21] E. W. A. Young and V. Kaushik, Device integration issues, ser. High-k Gate Dielectrics. IoP Publishing, 2004.

[22] J. Robertson, “High dielectric constant gate oxides for metal oxide Si transistors,” Reports on Progress inPhysics, vol. 69, pp. 327 – 396, 2006.

[23] J. Robertson, “Band offsets of wide-band-gap oxides and implications for future electronic devices,” Journalof Vacuum Science and Technology B, vol. 18, no. 3, pp. 1785 – 1791, 2000.

[24] G. B. Alers, D. J. Werder, Y. Chabal, H. C. Lu, E. P. Gusev, E. Garfunkel, T. Gustafsson, and R. S. Urdahl,“Intermixing at the tantalum oxide/silicon interface in gate dielectric structures,” Applied Physics Letters,vol. 73, no. 11, pp. 1517–1519, 1998.

[25] D. C. Gilmer, R. Hegde, R. Cotton, J. Smith, L. Dip, R. Garcia, V. Dhandapani, D. Triyoso, D. Roan, A. Franke,R. Rai, L. Prabhu, C. Hobbs, J. M. Grant, L. La, S. Samavedam, B. Taylor, H. Tseng, and P. Tobin, “Compati-bility of silicon gates with hafnium-based gate dielectrics,” Microelectronic Engineering, vol. 69, no. 2-4, pp.138–144, 2003.

[26] C. M. Perkins, B. B. Triplett, P. C. McIntyre, K. C. Saraswat, and E. Shero, “Thermal stability of polycrystallinesilicon electrodes on ZrO2 gate dielectrics,” Applied Physics Letters, vol. 81, no. 8, pp. 1417–1419, 2002.

[27] E. Gusev, V. Narayanan, and M. Frank, “Advanced high-k dielectric stacks with poly-Si and metal gates:Recent progress and current challenges,” IBM Journal of Research and Development, vol. 50, pp. 387 – 410,2006.

[28] K. Mistry, C. Allen, C. Auth, B. Beattie, D. Bergstrom, M. Bost, M. Brazier, M. Buehler, A. Cappellani, R. Chau,C. H. Choi, G. Ding, K. Fischer, T. Ghani, R. Grover, W. Han, D. Hanken, M. Hattendorf, J. He, J. Hicks,R. Huessner, D. Ingerly, P. Jain, R. James, L. Jong, S. Joshi, C. Kenyon, K. Kuhn, K. Lee, H. Liu, J. Maiz,B. McLntyre, P. Moon, J. Neirynck, S. Pae, C. Parker, D. Parsons, C. Prasad, L. Pipes, M. Prince, P. Ranade,T. Reynolds, J. Sandford, L. Shifren, J. Sebastian, J. Seiple, D. Simon, S. Sivakumar, P. Smith, C. Thomas,T. Troeger, P. Vandervoorn, S. Williams, and K. Zawadzki, “A 45nm logic technology with high-k+metal gatetransistors, strained silicon, 9 Cu interconnect layers, 193nm dry patterning, and 100% Pb-free packaging,”in Technical Digest, International Electron Devices Meeting, 2007, pp. 247–250.

[29] R. Jotwani, S. Sundaram, S. Kosonocky, A. Schaefer, V. F. Andrade, A. Novak, and S. Naffziger, “An x86-64core in 32 nm SOI CMOS,” IEEE Journal of Solid-State Circuits, vol. 46, no. 1, pp. 162–172, 2011.

[30] S. Monaghan, J. C. Greer, and S. D. Elliott, “Atomic scale model interfaces between high-k hafnium silicatesand silicon,” Physical Review Letters, vol. B, no. 75, pp. 245 304–01 – 245304–14, 2007.

[31] A. Laha, A. Fissel, and H. J. Osten, “Engineering the interface between epitaxial lanthanide oxide thin filmsand si substrates: a route towards tuning the electrical properties,” Microelectronic Engineering, vol. 84, no.9-10, pp. 2282–2285, 2007.

[32] H. D. B. Gottlob, M. C. Lemme, T. Mollenhauer, T. Wahlbrink, J. K. Efavi, H. Kurz, Y. Stefanov, K. Haberle,R. Komaragiri, T. Ruland, F. Zaunert, and U. Schwalke, “Introduction of crystalline high-k gate dielectrics ina CMOS process,” Journal of Non-Crystalline Solids, vol. 351, no. 21-23, pp. 1885–1889, 2005.

[33] H. Gottlob, T. Echtermeyer, T. Mollenhauer, J. Efavi, M. Schmidt, T. Wahlbrink, M. Lemme, H. Kurz, M. Czer-nohorsky, E. Bugiel, H.-J. Osten, and A. Fissel, “Integration of epitaxial Gd2O3 high-k gate dielectrics,” Solid-State Electronics, vol. 50, pp. 979 – 985, 2006.

[34] H. Wakabayashi, Y. Saito, K. Takeuchi, T. Mogami, and T. Kunio, “A novel W/TiNx metal gate CMOS tech-nology using nitrogen-concentration-controlled TiNx film,” in Technical Digest, International Electron DevicesMeeting, 1999, pp. 253–256.

196 Literaturverzeichnis

Page 75: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

[35] S. Zafar, Y. H. Kim, V. Narayanan, C. Cabral, V. Paruchuri, B. Doris, J. Stathis, A. Callegari, and M. Chudzik,“A comparative study of NBTI and PBTI (charge trapping) in SiO2/HfO2 stacks with FUSI, TiN, Re gates,” inDigest of Technical Papers, Symposium VLSI Technology, 2006, pp. 23–25.

[36] M. A. Negara, K. Cherkaoui, P. K. Hurley, C. D. Young, P. Majhi, W. Tsai, D. Bauza, and G. Ghibaudo, “Analysisof electron mobility in HfO2/TiN gate metal-oxide-semiconductor field effect transistors: The influence ofHfO2 thickness, temperature, and oxide charge,” Journal of Applied Physics, vol. 105, pp. 024 510–8, 2009.

[37] L. Chi-Pei, M. Schmidt, H. D. B. Gottlob, and H. Kurz, “Integration of ALD AlN work function tuning layers,”in International Symposium on VLSI Technology Systems and Applications, 2010, pp. 92–93.

[38] C. M. Lai, C. T. Lin, L. W. Cheng, C. H. Hsu, J. T. Tseng, T. F. Chiang, C. H. Chou, Y. W. Chen, C. H. Yu, S. H.Hsu, C. G. Chen, Z. C. Lee, J. F. Lin, C. L. Yang, G. H. Ma, and S. C. Chien, “A novel „hybrid“ high-k/metal gateprocess for 28nm high performance CMOSFETs,” in Technical Digest, International Electron Devices Meeting,2009, pp. 1–4.

[39] A. B. Joshi, E. Mann, L. Chung, T. H. Cho, B. W. Min, and D. L. Kwong, “Reduction of RIE-damage by N2O-anneal of thermal gate oxide,” IEEE Transactions on Semiconductor Manufacturing, vol. 11, pp. 495–500,1998.

[40] G. Bersuker, J. Gutt, N. Chaudhary, N. Moumen, B. Lee, I. Barnett, S. Gopalan, Brown, Y. Kim, C. Young,J. Peterson, H.-J. Li, P. Zeitzoff, G. Sim, P. Lysaght, M. Gardner, R. Murto, and H. R. Huff, “Integration issuesof high-k gate stack: Process-induced charging,” in 42nd Annual International Reliability Physiscs Symposium,Phoenix, 2004.

[41] B. W. Busch, O. Pluchery, Y. J. Chabal, D. A. Muller, R. L. Opila, J. R. Kwo, and E. Garfunkel, “Materialscharacterization of alternative gate dielectric,” MRS Bulletin, vol. 27, no. 3, pp. 206 – 211, 2002.

[42] E. Lipp, H. J. Osten, and M. Eizenberg, “The thermal stability of Pt/epitaxial Gd2O3/Si stacks and its depen-dence on heat-treatment ambient,” Journal of Applied Physics, vol. 106, no. 11, p. 113505, 2009.

[43] R. Endres, Y. Stefanov, F. Wessely, F. Zaunert, and U. Schwalke, “Process damage-free damascene metal gatetechnology for gentle integration of epitaxially grown high-k,” Microelectronic Engineering, vol. 85, no. 1,pp. 15–19, 2008.

[44] C. Bei, R. Jha, H. Lazar, N. Biswas, L. Jaehoon, L. Bongmook, L. Wielunski, E. Garfunkel, and V. Misra,“Influence of oxygen diffusion through capping layers of low work function metal gate electrodes,” IEEEElectron Device Letters, vol. 27, pp. 228–230, 2006.

[45] M. C. Lemme, J. K. Efavi, T. Mollenhauer, M. Schmidt, H. D. B. Gottlob, T. Wahlbrink, and H. Kurz, “Na-noscale TiN metal gate technology for CMOS integration,” Microelectronic Engineering, vol. 83, no. 4-9, pp.1551–1554, 2006.

[46] S. Mayuzumi, S. Yamakawa, Y. Tateshita, T. Hirano, M. Nakata, S. Yamaguchi, K. Tai, H. Wakabayashi,M. Tsukamoto, and N. Nagashima, “High-performance metal/high-k n- and p-MOSFETs with top-cut dualstress liners using gate-last damascene process on (100) substrates,” IEEE Transactions on Electron Devices,vol. 56, pp. 620 – 626, 2009.

[47] V. Ku, R. Amos, A. Steege, C. Cabral, V. Narayanan, P. Jamison, P. Nguyen, Y. Li, M. Gribelyuk, Y. Wang,J. Cai, A. Callegari, F. McFeel, F. Jamin, K. Wong, E. Wu, A. Chou, D. Boyd, H. Ng, M. Ieong, C. Wann,R. Jammy, and W. Haensch, “Low Tinv (≤ 1.8 nm) metal-gated MOSFETs on SiO2 based gate dielectrics forhigh performance logic applications,” in International Conference on Solid State Device and Materials, Tokio,2003.

[48] L. Ruizhao and X. Qiuxia, “Damascene W/TiN gate MOSFETs with improved performance for 0.1-m regime,”IEEE Transactions on Electron Devices, vol. 49, pp. 1891–1896, 2002.

[49] H. Y. Yu, J. F. Kang, J. D. Chen, C. Ren, Y. T. Hou, S. J. Whang, M. F. Li, D. S. H. Chan, K. L. Bera, C. H. Tung,A. Du, and D. L. Kwong, “Thermally robust high quality HfN/HfO2 gate stack for advanced CMOS devices,”in Technical Digest, International Electron Devices Meeting, 2003, pp. 4.5.1–4.5.4.

Literaturverzeichnis 197

Page 76: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

[50] P. Packan, S. Akbar, M. Armstrong, D. Bergstrom, M. Brazier, H. Deshpande, K. Dev, G. Ding, T. Ghani, O. Go-lonzka, W. Han, J. He, R. Heussner, R. James, J. Jopling, C. Kenyon, S. H. Lee, M. Liu, S. Lodha, B. Mattis,A. Murthy, L. Neiberg, J. Neirynck, S. Pae, C. Parker, L. Pipes, J. Sebastian, J. Seiple, B. Sell, A. Sharma,S. Sivakumar, B. Song, A. St. Amour, K. Tone, T. Troeger, C. Weber, K. Zhang, Y. Luo, and S. Natarajan, “Highperformance 32nm logic technology featuring 2nd generation high-k + metal gate transistors,” in TechnicalDigest, International Electron Devices Meeting, 2009, pp. 1–4.

[51] P. Majhi, C. Young, G. Bersuker, H. C. Wen, G. A. Brown, B. Foran, R. Choi, P. M. Zeitzoff, and H. R. Huff,“Influence of metal gate materials and processing on planar CMOS device characteristics with high-k gatedielectrics,” in Proceeding of the 34th European Solid-State Device Research Conference, 2004, pp. 185–188.

[52] J. Widiez, M. Vinet, T. Poiroux, P. Holliger, B. Previtali, P. Grosgeorges, M. Mouis, and S. Deleonibus, “TiN me-tal gate thickness influence on fully depleted SOI MOSFETs physical and electrical properties,” in Proceedingsof IEEE International SOI Conference, 2005, pp. 30–31.

[53] H. B. Michaelson, “The work function of the elements and its periodicity,” Journal of Applied Physics, vol. 48,pp. 4729–4733, 1977.

[54] H. L. Skriver and N. M. Rosengaard, “Surface energy and work function of elemental metals,” Physical ReviewB, vol. 46, pp. 7157–7168, 1992.

[55] D. K. Schroder, Semiconductor material and device characterization, 3rd ed. Wiley, 2006.

[56] M. Reisch, Elektronische Bauelemente. Springer, 1998.

[57] B. E. Deal, “Standardized terminology for oxide charges associated with thermally oxidized silicon,” IEEETransactions on Electron Devices, vol. 27, pp. 606–608, 1980.

[58] C. R. Helms and E. H. Poindexter, “The silicon-silicon dioxide system: Its microstructure and imperfections,”Reports on Progress in Physics, vol. 57, pp. 791 – 852, 1994.

[59] O. Ghobar, D. Bauza, and B. Guillaumot, “Defects in the interfacial layer of SiO2-HfO2 gate stacks: Depthdistribution and indentification,” in Final Report IEEE International Integrated Reliability Workshop, 2007,pp. 94–98.

[60] K. Xiong, J. Robertson, M. C. Gibson, and S. J. Clark, “Defect energy levels in HfO2 high-dielectric-constantgate oxide,” Applied Physics Letters, vol. 87, pp. 183 505–3, 2005.

[61] M. Fanciulli and G. Scarel, Rare Earth Oxide Thin Films - Growth, Characterization, and Applications, ser.Topics in Applied Physics. Springer Berlin Heidelberg, 2007, vol. 106.

[62] J. Bardeen, “Tunnelling from a many-particle point of view,” Physical Review Letters, vol. 6, p. 57, 1961.

[63] R. H. Fowler and L. Nordheim, “Electron emission in intense electric fields,” Proceedings of the Royal Societyof London. Series A, vol. 119, pp. 173–181, 1928.

[64] N. M. Ravindra and J. Zhao, “Fowler-nordheim tunneling in thin SiO2 films,” Smart Materials and Structures,vol. 1, pp. 197 – 201, 1992.

[65] C. R. Crowell and S. M. Sze, “Current transport in metal-semiconductor barriers,” Solid-State Electronics,vol. 9, pp. 1035–1048, 1966.

[66] J. Frenkel, “On pre-breakdown phenomena in insulators and electronic semi-conductors,” Physical Review,vol. 54, p. 647, 1938.

[67] J. G. Simmons, “Poole-frenkel effect and schottky effect in metal-insulator-metal systems,” Physical Review,vol. 155, p. 657, 1967.

[68] J. Wu, L. F. Register, and E. Rosenbaum, “Trap-assisted tunneling current through ultra-thin oxide,” in Pro-ceedings on 37th Annual IEEE International Reliability Physics Symposium, 1999, pp. 389–395.

198 Literaturverzeichnis

Page 77: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

[69] X. R. Cheng, Y. C. Cheng, and B. Y. Liu, “Nitridation-enhanced conductivity behavior and current transportmechanism in thin thermally nitrided SiO2,” Journal of Applied Physics, vol. 63, pp. 797–802, 1988.

[70] S. M. Sze and K. K. Ng, Physics of Semiconductor Devices. Wiley, 2006.

[71] G. Wilk, R. Wallace, and J. Anthony, “High-kappa gate dielectrics: Current status and materials propertiesconsiderations,” Journal of Applied Physics, vol. 89, pp. 5243 – 5275, 2001.

[72] J. G. Simmons, “Poole-frenkel effect and schottky effect in metal-insulator-metal systems,” Physical Review,vol. 155, p. 657, 1967.

[73] M. P. Houng, Y. H. Wang, and W. J. Chang, “Current transport mechanism in trapped oxides: A generalizedtrap-assisted tunneling model,” Journal of Applied Physics, vol. 86, pp. 1488–1491, 1999.

[74] L. Seok-Hee, C. Byung-Jin, K. Jong-Choul, and C. Soo-Han, “Quasi-breakdown of ultrathin gate oxide underhigh field stress,” in Technical Digest, International Electron Devices Meeting, 1994, pp. 605–608.

[75] D. M. Fleetwood, S. T. Pantelides, and R. D. Schrimpf, Defects in microelectronic materials and devices. CRCPress, 2009.

[76] J. H. Stathis, “Percolation models for gate oxide breakdown,” Journal of Applied Physics, vol. 86, no. 10, pp.5757–5766, 1999.

[77] P. E. Nicollian, “Physics of trap generation and electrical breakdown in ultra-thin SiO2 and SiON gate dielec-tric materials,” University of Twente, Ph.D. Thesis, 2007.

[78] R. F. Pierret, Advanced semiconductor fundamentals, 2nd ed., ser. Modular series on solid state devices v. 6.Prentice Hall, 2003.

[79] S. M. Sze, VLSI technology, 2nd ed. New York: McGraw-Hill, 1988.

[80] J. E. Meyer, “MOS models and circuit simulations,” RCA Review, vol. 32, pp. 42 – 63, 1971.

[81] D. J. Wouters, J. P. Colinge, and H. E. Maes, “Subthreshold slope in thin-film SOI MOSFETs,” IEEE Transacti-ons on Electron Devices, vol. 37, pp. 2022–2033, 1990.

[82] JEDEC, JC-14.2 Subcommittee, “Procedure for wafer level testing of thin dielectrics,” JESD35-A, 1992.

[83] Keithley Instruments, “Evaluating oxide reliability using V-ramp and J-ramp techniques,” Keithley ApplicationNote, vol. 2240, 2000.

[84] W. Weibull, “A statistical theory of the strength of materials,” Ingenieurs Vetenskaps Akad. Hand., vol. 151,pp. 1 – 45, 1939.

[85] A. Kerber, “Methodology for electrical characterization of MOS devices with alternative gate dielectrics,”Technische Universität Darmstadt, Ph.D. Thesis, 2003.

[86] C. Ih-Chin, S. E. Holland, and H. Chenming, “Electrical breakdown in thin gate and tunneling oxides,” IEEEJournal of Solid-State Circuits, vol. 20, pp. 333–342, 1985.

[87] D. J. DiMaria, “Dependence on gate work function of oxide charging, defect generation, and hole currentsin metal-oxide-semiconductor structures,” Journal of Applied Physics, vol. 81, no. 7, pp. 3220–3226, 1997.

[88] JEDEC, JC-14.1 Subcommittee, “Failure mechanisms and models for semiconductor devices,” JEP122F,2010.

[89] E. Y. Wu, A. Vayshenker, E. Nowak, J. Sune, R. P. Vollertsen, W. Lai, and D. Harmon, “Experimental evidenceof TBD power-law for voltage dependence of oxide breakdown in ultrathin gate oxides,” IEEE Transactionson Electron Devices, vol. 49, pp. 2244–2253, 2002.

Literaturverzeichnis 199

Page 78: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

[90] R. Degraeve, B. Govoreanu, B. Kaczer, J. Van Houdt, and G. Groeseneken, “Measurement and statisticalanalysis of single trap current-voltage characteristics in ultrathin SiON,” in Proceedings on 43rd Annual IEEEInternational Reliability Physics Symposium, 2005, pp. 360–365.

[91] J. T. Watt and J. D. Plummer, “Universal mobility-field curves for electrons and holes in MOS inversionlayers,” in Technical Digest, International Electron Devices Meeting, 1987, pp. 81–82.

[92] C. Sodini, T. Ekstedt, and J. Moll, “Charge accumulation and mobility in thin dielectric MOS transistors,”Solid-State Electronics, vol. 25, pp. 833 – 841, 1982.

[93] S. Mileusnic, M. Zivanov, and P. Habas, “MOS transistors characterization by split C-V method,” in 24nd

International Semiconductor Conference, Sinaia, Romania, 2001.

[94] S. Takagi, M. Iwase, and A. Toriumi, “On the universality of inversion-layer mobility in n- and p-channelMOSFETs,” in Technical Digest, International Electron Devices Meeting, 1988, pp. 398–401.

[95] N. W. Ashcroft and N. D. Mermin, Solid State Physics. Holt, 1976.

[96] W. Zhu, H. Jin-Ping, and T. P. Ma, “Mobility measurement and degradation mechanisms of MOSFETs madewith ultrathin high-k dielectrics,” IEEE Transactions on Electron Devices, vol. 51, no. 1, pp. 98–105, 2004.

[97] M. V. Fischetti, D. A. Neumayer, and E. A. Cartier, “Effective electron mobility in Si inversion layers in metal-oxide-semiconductor systems with a high-kappa insulator: The role of remote phonon scattering,” Journalof Applied Physics, vol. 90, no. 9, pp. 4587–4608, 2001.

[98] R. Chau, S. Datta, M. Doczy, B. Doyle, J. Kavalieros, and M. Metz, “High-κ/metal-gate stack and its MOSFETcharacteristics,” IEEE Electron Device Letters, vol. 25, no. 6, pp. 408–410, 2004.

[99] K. J. Yang and H. Chenming, “MOS capacitance measurements for high-leakage thin dielectrics,” IEEE Tran-sactions on Electron Devices, vol. 46, no. 7, pp. 1500–1501, 1999.

[100] D. P. Norton, “Capacitance-voltage measurements on ultrathin gate dielectrics,” Solid-State Electronics,vol. 47, no. 5, pp. 801–805, 2003.

[101] R. H. Cox and H. Strack, “Ohmic contacts for GaAs devices,” Solid-State Electronics, vol. 10, no. 12, pp.1213–1214, IN7–IN8, 1215–1218, 1967.

[102] H. R. Huff and D. C. Gilmer, High dielectric constant materials : VLSI MOSFET applications. Springer, 2005.

[103] E. H. Nicolian and J. R. Brews, MOS (Metal Oxide Semiconductor) Physics and Technology. John Wiley &Sons, 1982.

[104] Keithley Instruments, “Gate dielectric capacitance-voltage characterization using the model 4200 semicon-ductor characterization system,” Application Note Series, vol. 2239, 2006.

[105] R. J. Hillard, J. M. Heddleson, D. A. Zier, P. Rai-Choudhouri, and D. K. Schroder, “Direct and rapid methodfor determing flatband voltage from non-equilibrium capacitance voltage data,” Diagnostic Techniques forSemiconductor Materials and Devices, pp. 261 – 274, 1992.

[106] M. P. Seah, S. J. Spencer, F. Bensebaa, I. Vickridge, H. Danzebrink, M. Krumrey, T. Gross, W. Oesterle, E. Wend-ler, B. Rheinländer, Y. Azuma, I. Kojima, N. Suzuki, M. Suzuki, S. Tanuma, D. W. Moon, H. J. Lee, H. M. Cho,H. Y. Chen, A. T. S. Wee, T. Osipowicz, J. S. Pan, W. A. Jordaan, R. Hauert, U. Klotz, C. van der Marel,M. Verheijen, Y. Tamminga, C. Jeynes, P. Bailey, S. Biswas, U. Falke, N. V. Nguyen, D. Chandler-Horowitz,J. R. Ehrstein, D. Muller, and J. A. Dura, “Critical review of the current status of thickness measurements forultrathin SiO2 on Si part V: Results of a CCQM pilot study,” Surface and Interface Analysis, vol. 36, no. 9, pp.1269–1303, 2004.

[107] R. F. Bunshah, Handbook of Deposition Technologies for Films and Coatings - Science, Technology and Applica-tions (2nd Edition). William Andrew Publishing/Noyes, 1994.

200 Literaturverzeichnis

Page 79: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

[108] W. K. Henson, K. Z. Ahmed, E. M. Vogel, J. R. Hauser, J. J. Wortman, R. D. Venables, M. Xu, and D. Vena-bles, “Estimating oxide thickness of tunnel oxides down to 1.4 nm using conventional capacitance-voltagemeasurements on MOS capacitors,” IEEE Electron Device Letters, vol. 20, no. 4, pp. 179–181, 1999.

[109] E. Nicollian and A. Goetzberger, “MOS conductance technique for measuring surface state parameters,”Applied Physics Letters, vol. 7, pp. 216 – 219, 1965.

[110] A. Ludsteck, “Optimierung von Gate-Dielektrika für die MOS-Technologie,” Universität der BundeswehrMünchen, Ph.D. Thesis, 2005.

[111] D. Widmann, H. Mader, and H. Friedrich, Technologie hochintegrierter Schaltungen. Springer, Berlin, 1996.

[112] G. S. May and C. J. Spanos, Fundamentals of semiconductor manufacturing and process control. Wiley-Interscience, 2006.

[113] B. E. D. Grove and A. S., “General relationship for the thermal oxidation of silicon,” Journal of AppliedPhysics, vol. 36, pp. 3770 – 3778, 1965.

[114] A. Fiory, “Methods in rapid thermal annealing,” in Eighth International Conference on Advanced ThermalProcessing of Semiconductors, 2000, pp. 15 – 25.

[115] U. Hilleringmann, Silizium-Halbleitertechnologie: Grundlagen mikroelektronischer Integrationstechnik. View-eg + Teubner, 2008.

[116] R. L. Puurunen, “Surface chemistry of atomic layer deposition: A case study for the trimethylalumi-num/water process,” Journal of Applied Physics, vol. 97, pp. 121 301–01 – 121301–52, 2005.

[117] K.-E. Elers, J. Winkler, K. Weeks, and S. Marcus, “TiCl4 as a precursor in the TiN deposition by ALD andPEALD,” Journal of The Electrochemical Society, vol. 152, pp. G589 – G593, 2005.

[118] MicroChemicals, Lithographie - Theorie und Anwendungen von Fotolacken, Entwicklern, Ätzchemikalien undLösemitteln. MicroChemicals GmbH Ulm, 2008.

[119] P. Rai-Choudhury, Ed., Handbook of Microlithography, Micromachining, and Microfabrication. Volume 1: Mi-crolithography. SPIE Press, 1997, vol. PM39.

[120] A. Paskaleva and E. Atanassova, “Bulk oxide charge and slow states in Si-SiO2 structures generated by RIE-mode plasma,” Microelectronics Reliability, vol. 40, pp. 2033–2037, 2000.

[121] M. Czernohorsky, “Wachstum und Charakterisierung von epitaktischen Seltenen-Erden-Oxiden für High-KAnwendungen,” Leibniz Universität Hannover, Ph.D. Thesis, 2009.

[122] F. Tardif, I. Mansart, T. Lardin, O. Demolliens, M. Fayolle, Y. Gobile, J. Palleau, and J. Torres, “Cleaning aftersilicon oxide CMP,” in Abstracts Booklet, European Workshop Materials for Advanced Metallization, 1997, pp.74–77.

[123] H. G. Tompkins and E. A. Irene, Eds., Handbook of Ellipsometry. Norwich, NY: William Andrews Publications,2005.

[124] G. Binnig, C. F. Quate, and C. Gerber, “Atomic force microscope,” Physical Review Letters, vol. 56, pp. 930 –933, 1986.

[125] A. Olbrich, B. Ebersberger, and C. Boit, “Conductive atomic force microscopy for nanoscale eletrical charac-terization of thin SiO2,” Applied Physics Letters, vol. 73, p. 3114, 1998.

[126] U. Schwalke and Y. Stefanov, “Process integration and nanometer-scale electrical characterization of crystal-line high-k gate dielectrics,” Microelectronics Reliability, vol. 45, pp. 790 – 793, 2005.

[127] S. L. Flegler, J. W. Heckman, and K. L. Klomparens, Elektronenmikroskopie - Grundlagen, Methoden, Anwen-dungen. Spektrum Akademischer Verlag, 1995.

Literaturverzeichnis 201

Page 80: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

[128] D. Williams and C. B. Carter, Transmission Electron Microscopy, Vol. 1 - Basics. Plenum Press, 1996.

[129] J. M. Steigerwald, S. P. Murarka, and R. J. Gutmann, Chemical Mechanical Planarization of MicroelectronicMaterials. Wiley, 1997.

[130] L. Jianfeng and D. A. Dornfeld, “Material removal regions in chemical mechanical planarization for sub-micron integrated circuit fabrication: coupling effects of slurry chemicals, abrasive size distribution,andwafer-pad contact area,” IEEE Transactions on Semiconductor Manufacturing, vol. 16, pp. 45–56, 2003.

[131] Y. Sampurno, L. Borucki, S. Misra, K. Holland, Y. Zhuang, and A. Philipossian, “Effect of pad break-in timeand in-situ pad conditioning duty cycle for porous and non-porous pads in CMP,” in Chemical-MechanicalPolish for ULSI Multilevel Interconnection Conference (CMP-MIC), 2008, pp. 224–230.

[132] A. S. Lawing, “Pad conditioning and pad surface characterization in oxide chemical mechanical polishing,”in Material Resarch Society Spring Meeting, vol. 732E, 2002.

[133] F. W. Preston, “The theory and design of plate glass polishing machines,” Journal of The Society of Glass,vol. 11, p. 214, 1927.

[134] L. M. Cook, “Chemical processes in glass polishing,” Journal of Non-Crystalline Solids, vol. 120, pp. 152 –171, 1990.

[135] J. M. Steigerwald, “A fundamental study of chemical mechanical polishing of copper thin films,” RensselaerPolytechnic Institute, Ph.D. Thesis, 1995.

[136] F. B. Kaufman, D. B. Thompson, R. E. Broadie, M. A. Jaso, W. L. Guthrie, D. J. Pearson, and M. B. Small,“Chemical-mechanical polishing for fabricating patterned W metal features as chip interconnects,” Journalof The Electrochemical Society, vol. 138, no. 11, pp. 3460 – 3465, 1991.

[137] T. Feng, “Non-uniformity of wafer and pad in CMP: kinematic aspects of view,” in Proceedings of the 2005American Control Conference, 2005, pp. 2046–2051 vol. 3.

[138] W. Chun-Jen, “Process integrated of high aspect ratio copper dual damascene process,” in IEEE InternationalConference of Electron Devices and Solid-State Circuits, 2009, pp. 12–15.

[139] A. B. Kahng, P. Sharma, and A. Zelikovsky, “Fill for shallow trench isolation CMP,” in IEEE/ACM InternationalConference on Computer-Aided Design, 2006, pp. 661–668.

[140] T. Park, J. Kim, K. Park, H. Lee, H. Shin, Y. Kim, M. Park, H. Kang, and M. Lee, “A novel simple shallow trenchisolation (SSTI) technology using high selective CeO2 slurry and liner SiN as a CMP stopper,” in Symposiumon VLSI Technology, ser. Digest of Technical Papers, Kyoto, 1999, pp. 159 – 160.

[141] B.-H. Kwon, J.-H. Lee, H.-J. Kim, S. S. Kweon, Y.-G. Ryu, and J.-G. Lee, “Dishing and erosion in STI CMP,”in 6th International Conference on VLSI and CAD, Seoul, 1999, pp. 456 – 458.

[142] L. M. Cook, “Theoretical and practical aspects of dielectric and metal CMP,” Semiconductor International,vol. 18, no. 13, 1995.

[143] B. Zhao and F. G. Shi, “Threshold pressure and its influence in chemical mechanical polishing for IC fabrica-tion,” in Technical Digest, International Electron Devices Meeting, 1998, pp. 341 – 344.

[144] S. R. Runnels and L. M. Eyman, “Tribology analysis of chemical-mechanical polishing,” Journal of The Elec-trochemical Society, vol. 141, no. 6, pp. 1698 – 1701, 1994.

[145] R. Jairath, M. Desai, M. Stell, R. Tolles, and D. Scherber-Brewer, “Consumables for the chemical mechanicalpolishing (CMP) of dielectrics and conductors,” in Materials Research Society Symposium, vol. 337, 1994, pp.121 – 131.

[146] M. Tomozawa and R. Doremus, Eds., Treatise on Materials Science and Technology. New York: AcademicPress, 1979.

202 Literaturverzeichnis

Page 81: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

[147] H.-G. Kang, T. Katoh, M.-Y. Lee, H.-S. Park, U. Paik, and J.-G. Park, “Effect of molecular weight of surfactantin nano ceria slurry on shallow trench isolation chemical mechanical polishing (CMP),” Japanese Journal ofApplied Physics, vol. 43, pp. L1060 – L1063, 2004.

[148] J. W. Carr, L. D. David, W. L. Guthrie, F. B. Kaufman, W. J. Patrick, K. P. Rodbell, R. W. Pasco, and A. Nenadic,“Method of chemical-mechanical polishing an electronic component substrate and polishing slurry therefor,”United States of America Patent US 4 954142, 1990.

[149] E. A. Kneer, C. Raghunath, S. Raghavan, and J. S. Jeon, “Electrochemistry of chemical vapor depositedtungsten films with relevance to chemical mechanical polishing,” Journal of The Electrochemical Society, vol.143, pp. 4095–4100, 1996.

[150] G. Lim, J.-H. Lee, J. Kim, H.-W. Lee, and S.-H. Hyun, “Effects of oxidants on the removal of tungsten in CMPprocess,” Wear, vol. 257, pp. 863–868, 2004.

[151] T. Kudo and H. Okamoto, “Peroxopolytungstic acid synthesized by direct reaction of tungsten or tungstencarbide with hydrogen peroxide,” Inorganica Chimica Acta, vol. 111, pp. L27–L28, 1986.

[152] P. Renteln and J. Coniff, “The evolution of chem-mechanical planarization: From aberrant to prosaic,” inMaterials Research Society Symposium, vol. 337, 1994, pp. 105 – 119.

[153] R. Jairath, J. Farkas, C. Huang, M. Stell, and S. Tzeng, “Chemical-mechanical polishing: Process manufactu-rability,” Solid State Technology, no. 7, pp. 71 – 75, 1994.

[154] J. M. Hogan, P. C. J., T. H. Rogers, and J. R. White, “Humidity characteristics of HR and hot urethane foams,”Journal of Cellular Plastics, vol. 9, no. 5, pp. 219 – 225, 1973.

[155] T. Sun, Y. Zhuang, L. Borucki, and A. Philipossian, “Optical and mechanical characterization of chemical me-chanical planarization pad surfaces,” Japanese Journal of Applied Physics, vol. 49, pp. 046 501–1 – 046 501–5,2010.

[156] S. Franssila, Introduction to microfabrication, 2nd ed. John Wiley & Sons, 2010.

[157] 2010. [Online]. Available: http://www.srim.org/

[158] J. F. Ziegler, J. P. Biersack, and M. D. Ziegle, SRIM - The Stopping and Range of Ions in Matte. Lulu Press Co.,2010.

[159] R. Endres, Y. Stefanov, and U. Schwalke, “Electrical characterization of crystalline Gd2O3 gate dielectricMOSFETs fabricated by damascene metal gate technology,” Microelectronics Reliability, vol. 47, pp. 528 –531, 2006.

[160] L. Jianfeng and D. A. Dornfeld, “Material removal mechanism in chemical mechanical polishing: theory andmodeling,” IEEE Transactions on Semiconductor Manufacturing, vol. 14, pp. 112–133, 2001.

[161] S. Wu, “Charakterisierung und Anwendung von PECVD-Isolatorschichten bezüglich elektrischer, optischerund mechanischer Eigenschaften,” Institut für Halbleitertechnik, Technische Universität Darmstadt, Diplom-arbeit D-208, 1999.

[162] L. Baldi and D. Beardo, “Effects of doping on polysilicon etch rate in a flourine-containing plasma,” Journalof Applied Physics, vol. 57, p. 5, 1985.

[163] L. Yongqing and L. Yuzhuo, “Case study for particle agglomeration during chemical mechanical polishingprocess,” in 9th International Conference on Solid-State and Integrated-Circuit Technology, 2008, pp. 1215–1218.

[164] R. K. Singh and B. R. Roberts, “On extensive pump handling of chemical-mechanical polishing slurries,” inAdvanced Semiconductor Manufacturing Conference, 2001, pp. 107–113.

[165] M. Berman, T. Bibby, and A. Smith, “Review of in situ and in-line detection for CMP applications,” Semicon-ductor Fabtech, vol. 8, pp. 267 – 274, 1998.

Literaturverzeichnis 203

Page 82: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

[166] L. Bih-Tiao and S. N. Lee, “An effective end point detector on oxide CMP by motor current,” in AdvancedSemiconductor Manufacturing Conference and Workshop, 1999, pp. 295–298.

[167] R. Tian, D. F. Wong, and R. Boone, “Model-based dummy feature placement for oxide chemical-mechanicalpolishing manufacturability,” IEEE Transactions on Computer-aided Design of Integrated Circuits and Systems,vol. 20, no. 7, pp. 902 – 910, 2001.

[168] K. Noh, N. Saka, and J.-H. Chun, “A multi-scale model for copper dishing in chemical-mechanical polishing,”Molecular Engineering of Biological and Chemical Systems (MEBCS), vol. 1, 2005.

[169] I. Nitta, Y. Kanazawa, D. Fukuda, T. Shibuya, N. Idani, M. Ito, O. Yamasaki, N. Harada, and T. Hiramoto,“Condition-based dummy fill insertion method based on ECP and CMP predictive models,” in 11th Interna-tional Symposium on Quality Electronic Design (ISQED), 2010, pp. 198–205.

[170] A. A. Busnaina, L. Hong, N. Moumen, F. Jiang-wei, and J. Taylor, “Particle adhesion and removal mechanismsin post-CMP cleaning processes,” IEEE Transactions on Semiconductor Manufacturing, vol. 15, pp. 374–382,2002.

[171] J. M. Steigerwald, “Chemical mechanical polish: The enabling technology,” in Technical Digest, InternationalElectron Devices Meeting, 2008, pp. 1–4.

[172] C. Huynh, M. Rutten, R. Cheek, and H. Linde, “A study of post-chemical-mechanical polish cleaning strate-gies,” in Advanced Semiconductor Manufacturing Conference and Workshop, 1998, pp. 372–376.

[173] L. Jianfeng and D. A. Dornfeld, “Material removal mechanism in chemical mechanical polishing: theory andmodeling,” IEEE Transactions on Semiconductor Manufacturing, vol. 14, pp. 112–133, 2001.

[174] T. Yamanaka, S. J. Fang, H.-C. Lin, J. P. Snyder, and C. R. Helms, “Correlation between inversion layermobility and surface roughness measured by AFM,” IEEE Electron Device Letters, vol. 17, pp. 178 – 180,1996.

[175] M. Mamatrishata, M. Koudaa, T. Kawanagoa, K. Kakushimab, P. Ahmeta, A. Aierkenc, K. Tsutsuib, A. Nis-hiyamab, N. Sugiib, K. Natoria, T. Hattoria, and H. Iwaia, “Effect of remote surface roughness scattering onelectron mobility in MOSFETs with high-k dielectrics,” in The 218th Meeting of The Electrochemical Society,Las Vegas, 2010.

[176] N. Awaya, H. Inokawa, E. Yamamoto, Y. Okazaki, M. Miyake, Y. Arita, and T. Kobayashi, “Evaluation ofa copper metallization process and the electrical characteristics of copper-interconnected quarter-micronCMOS,” IEEE Transactions on Electron Devices, vol. 43, pp. 1206–1212, 1996.

[177] W. B. Henley, D. A. Ramappa, and L. Jastrezbski, “Detection of copper contamination in silicon by surfacephotovoltage diffusion length measurements,” Applied Physics Letters, vol. 74, pp. 278–280, 1999.

[178] S. Kordic, C. A. H. Mutsaers, H. Lifka, and M. N. Webster, “Chemical mechanical polishing of aluminumfor the 0.18 μm dual damascene process,” in Proceedings of the IEEE International Interconnect TechnologyConference, 1998, pp. 70–72.

[179] E. Sicurani, M. Fayolle, Y. Gobil, and Y. Morand, “W CMP process integration,” in Abstracts Booklet, EuropeanWorkshop Materials for Advanced Metallization, 1997, pp. 86–87.

[180] S. Giurgola, P. Vergani, F. Lucchi, and V. Pruneri, “Ultra thin metal films for transparent conductive layers,”in European Conference on Lasers and Electro-Optics and the International Quantum Electronics Conference,2007, pp. 1–1.

[181] C. Re-Long, J. Higgins, S. Ying, L. Yajiang, B. Dick, B. Peterson, O. Nyi, and C. Wen-Szu, “Optical inducetungsten plug corrosion in CMP process,” in 17th IEEE International Symposium on the Physical and FailureAnalysis of Integrated Circuits, 2010, pp. 1–5.

[182] J. P. Bare and B. Johl, “Evaluation of manufacturing handling characteristics of hydrogen peroxide-basedtungsten CMP slurry,” in 23rd IEEE/CPMT Electronics Manufacturing Technology Symposium, 1998, pp. 164–171.

204 Literaturverzeichnis

Page 83: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

[183] L.-. Ragnarsson, J. T. Z. Li, T. Schram, E. Rohr, M. J. Cho, T. Kauerauf, T. Conard, Y. Okuno, B. Parvais, P. Absil,S. Biesemans, and T. Y. Hoffmann, “Ultra low-EOT (5 Å) gate-first and gate-last high performance CMOSachieved by gate-electrode optimization,” in Technical Digest, International Electron Devices Meeting, 2009,pp. 663 – 666.

[184] I. S. Jeon, J. Lee, P. Zhao, P. Sivasubramani, T. Oh, H. J. Kim, D. Cha, J. Huang, M. J. Kim, B. E. Gnade,J. Kim, and R. M. Wallace, “A novel methodology on tuning work function of metal gate using stackingbi-metal layers,” in Technical Digest, International Electron Devices Meeting, 2004, pp. 303–306.

[185] R. Endres, T. Krauss, F. Wessely, and U. Schwalke, “Damascene TiN-Gd2O3-gate stacks: Gentle fabricationand electrical properties,” Microelectronics Engineering, vol. 1, no. 2, pp. 12 – 14, 2010.

[186] G. Hu, “A better understanding of CMOS latch-up,” IEEE Transactions on Electron Devices, vol. 31, pp. 62 –67, 1984.

[187] U. K. Mishra and J. Singh, Semiconductor Device Physics and Design. Springer, 2008.

[188] R. Payne, W. Grant, and W. Bertram, “Elimination of latch up in bulk CMOS,” in Technical Digest, InternationalElectron Devices Meeting, vol. 26, New York, 1980, pp. 248 – 251.

[189] E. Kooi, B. Brandt, J. Shappir, and W. Steinmayer, “Processes for compact LOCOS integrated circuits,” inTechnical Digest, International Electron Devices Meeting, vol. 17, 1971, pp. 4 – 5.

[190] M. Nandakumar, A. Chatterjee, S. Sridhar, K. Joyner, M. Rodder, and I.-C. Chen, “Shallow trench isolation foradvanced ULSI CMOS technologies,” Technical Digest, International Electron Devices Meeting, pp. 133–136,1998.

[191] C. Chen, J. Chou, W. Lur, and S. Sun, “A novel 0.25 μm shallow trench isolation technology,” in TechnicalDigest, International Electron Devices Meeting, 1996, pp. 837 – 840.

[192] T. Ruiqi, T. Xiaoping, and M. D. F. Wong, “Dummy-feature placement for chemical-mechanical polishinguniformity in a shallow-trench isolation process,” IEEE Transactions on Computer-Aided Design of IntegratedCircuits and Systems, vol. 21, pp. 63–71, 2002.

[193] F. Wessely, L. Rispal, and U. Schwalke, “Mix-and-match lithography based ultrathinbody SOI nanowires andschottky-S/D-FETs,” in 10th Workshop on Semiconductor Advances for Future Electronics, 2007.

[194] J. F. Damlencourt, O. Renault, D. Samour, A. M. Papon, C. Leroux, F. Martin, S. Marthon, M. N. Séméria,and X. Garros, “Electrical and physico-chemical characterization of SiO2/HfO2 gate oxide stacks preparedby atomic layer deposition,” Solid-State Electronics, vol. 47, pp. 1613–1616, 2003.

[195] R. Xu, Z. J. Yan, S. Chen, Y. L. Fan, X. M. Ding, Z. M. Jiang, and Z. S. Li, “In situ photoemission study oninitial growth of HfO2 films on Si(100),” Surface Science, vol. 581, pp. 236–240, 2005.

[196] N. Zhan, K. L. Ng, M. C. Poon, C. W. Kok, M. Chan, and H. Wong, “Characteristics of high quality hafniumoxide gate dielectric,” in Technical Digest, International Electron Devices Meeting, 2002, pp. 43–46.

[197] J. R. Hauser and K. Ahmed, “Characterization of ultra-thin oxides using electrical C-V and I-V measure-ments,” AIP Conference Proceedings, vol. 449, no. 1, pp. 235–239, 1998.

[198] P. K. Hurley, K. Cherkaoui, and A. Groenland, “Electrically active interface defects in the(100)Si/SiOx/HfO2/TiN system: Origin, instabilities and passivation,” ECS Transactions, vol. 3, pp. 97–110,2006.

[199] I. Kashiwagi, C. Ohshima, S. Ohmi, and H. Iwai, “Characteristics of high-k Gd2O3 films deposited on differentorientation of Si substrate,” in 32th European Solid-State Device Research Conference, 2002.

[200] D. Landheer, J. A. Gupta, G. I. Sproule, J. P. McCaffrey, M. J. Graham, K.-C. Yang, Z.-H. Lu, and W. N.Lennard, “Characterization of Gd2O3 films deposited on Si(100) by electron-beam evaporation,” Journal ofThe Electrochemical Society, vol. 148, pp. G29 – G35, 2001.

Literaturverzeichnis 205

Page 84: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

[201] G. Bersuker, J. Gutt, N. Chaudhary, N. Moumen, B. Lee, I. Barnett, S. Gopalan, Brown, Y. Kim, C. Young,J. Peterson, H.-J. Li, P. Zeitzoff, G. Sim, P. Lysaght, M. Gardner, R. Murto, and H. R. Huff, “Integration issuesof high-k gate stack: Process-induced charging,” in 42nd Annual International Reliability Physiscs Symposium,Phoenix, 2004.

[202] S. Kar, “Determination of the gate dielectric capacitance of ultrathin high-k layers,” Journal of The Electro-chemical Society, vol. 151, pp. G476–G481, 2004.

[203] S. Kar, “Extraction of the capacitance of ultrathin high-k gate dielectrics,” IEEE Transactions on ElectronDevices, vol. 50, pp. 2112–2119, 2003.

[204] K. Tse, D. Liu, K. Xiong, and J. Robertson, “Oxygen vacancies in high-k oxides,” Microelectronic Engineering,vol. 84, no. 9-10, pp. 2028–2031, 2007.

[205] J. L. Gavartin, D. M. Ramo, A. L. Shluger, G. Bersuker, and B. H. Lee, “Negative oxygen vacancies in HfO2 ascharge traps in high-k stacks,” Applied Physics Letters, vol. 89, no. 8, pp. 089 208 – 089211, 2006.

[206] R. Degraeve, P. Roussel, M. Cho, B. Kaczer, T. Kauerauf, F. Crupi, and G. Groeseneken, “Explaining „voltage-driven“ breakdown statistics by accurately modeling leakage current increase in thin SiON and SiO2/high-kstacks,” in Proceedings on 44th Annual IEEE InternationalReliability Physics Symposium, 2006, pp. 82–89.

[207] D. Gu, K. Tapily, P. Shrestha, G. Celler, and H. Baumgart, “Experimental study of ALD HfO2 deposited onstrained silicon-on-insulator (sSOI & xsSOI) and SOI,” ECS Transactions, vol. 11, pp. 421–429, 2007.

[208] B. K. Park, J. Park, M. Cho, C. S. Hwang, K. Oh, Y. Han, and D. Y. Yang, “Interfacial reaction between chemi-cally vapor-deposited HfO2 thin films and a HF-cleaned Si substrate during film growth and postannealing,”Applied Physics Letters, vol. 80, no. 13, pp. 2368 – 2370, 2002.

[209] M. E. Grubbs, M. Deal, Y. Nishi, and B. M. Clemens, “The effect of oxygen on the work function of tungstengate electrodes in MOS devices,” Electron Device Letters, IEEE, vol. 30, no. 9, pp. 925–927, 2009.

[210] T. Yamaguchi, H. Satake, and N. Fukushima, “Band diagram and carrier conduction mechanisms in ZrO2

MIS structures,” IEEE Transactions on Electron Devices, vol. 51, pp. 774 – 779, 2004.

[211] E. W. A. Young and W. F. A. Besling, “On the characterisation of ALCVD ZrO2; electrical and structuralproperties,” in Proceeding of the 30th European Solid-State Device Research Conference, 2000, pp. 488–491.

[212] S. H. Jeong, I. S. Bae, Y. S. Shin, S. B. Lee, H. T. Kwak, and J. H. Boo, “Physical and electrical properties ofZrO2 and YSZ0 high-k gate dielectric thin films grown by RF magnetron sputtering,” Thin Solid Films, vol.475, pp. 354–358, 2005.

[213] S. Y. Lee, H.-S. Jung, H. K. Kim, S. W. Lee, Y. J. Choi, and C. S. Hwang, “The VFB modulation effect of ALDgrown Al2O3, SrO, La2O3 capping layers with HfO3 gate dielectrics,” ECS Transactions, vol. 33, no. 3, pp.53–58, 2010.

[214] K. Choi, H. Jagannathan, C. Choi, L. Edge, T. Ando, M. Frank, P. Jamison, M. Wang, E. Cartier, S. Zafar,J. Bruley, A. Kerber, B. Linder, A. Callegari, Q. Yang, S. Brown, J. Stathis, J. Iacoponi, V. Paruchuri, andV. Narayanan, “Extremely scaled gate-first high-k/metal gate stack with EOT of 0.55 nm using novel interfa-cial layer scavenging techniques for 22 nm technology node and beyond,” in Symposium on VLSI Technology,Honolulu, Hawaii, 2009, pp. 138 – 139.

[215] T. Watahiki, B. P. Tinkham, B. Jenichen, R. Shayduk, W. Braun, and K. H. Ploog, “Praseodymium silicideformation at the Pr2O3/Si interface,” Applied Surface Science, vol. 255, no. 3, pp. 758–760, 2008.

[216] T. Watahiki, B. Jenichen, R. Shayduk, B. P. Tinkham, W. Braun, and H. Riechert, “Structure analysis ofepitaxial Gd2O3/Si(001) for high-k gate dielectric applications,” Journal of Crystal Growth, vol. 311, pp.2179–2182, 2009.

[217] J. S. Jur, V. D. Wheeler, M. T. Veety, D. J. Lichtenwalner, D. W. Barlage, and M. A. L. Johnson, “Epitaxial rareearth oxide growth on GaN for enhancement-mode MOSFETs,” in The International Conference on CompoundSemiconductor Manufacturing Technology, 2008.

206 Literaturverzeichnis

Page 85: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

[218] L.-L. Chen, C.-Y. Hou, J.-R. Wu, M.-L. Wu, R.-J. Lyu, and Y.-H. Wu, “(ZrO2)x(La2O3)1−x alloy as high-k gatedielectric for advanced CMOS devices,” ECS Transactions, vol. 33, no. 3, pp. 203–209, 2010.

[219] D. J. Lichtenwalner, J. S. Jur, N. Inoue, and A. I. Kingon, “Overview of materials processing and propertiesof lanthanum-based high-k dielectrics,” ECS Transactions, vol. 11, no. 4, pp. 319–332, 2007.

[220] T. Gougousi, M. J. Kelly, D. B. Terry, and G. N. Parsons, “Properties of La-silicate high-k dielectric films formedby oxidation of La on silicon,” Journal of Applied Physics, vol. 93, no. 3, pp. 1691–1696, 2003.

[221] J. S. Jur, D. J. Lichtenwalner, and A. I. Kingon, “High temperature stability of lanthanum silicate dielectricon Si (001),” Applied Physics Letters, vol. 90, no. 10, pp. 102 908–102908–3, 2007.

[222] D. Kitayama, T. Koyanagi, K. Kakushima, P. Ahmet, K. Tsutsui, A. Nishiyama, N. Sugii, K. Natori, T. Hattori,and H. Iwai, “TiN capping effect on high temperature annealed RE-oxide devices for scaled EOT,” ECSMeeting Abstracts, vol. 1002, no. 22, p. 1531, 2010.

[223] A. Laha, E. Bugiel, J. X. Wang, Q. Q. Sun, A. Fissel, and H. J. Osten, “Effect of domain boundaries on theelectrical properties of crystalline Gd2O3 thin films,” Applied Physics Letters, vol. 93, 2008.

[224] H. Osten, A. Laha, E. Bugiel, D. Schwendt, and A. Fissel, “Growth of epitaxial lanthanide oxide based gatedielectrics,” in 3rd International Conference on Signals, Circuits & Systems, Djerba, Tunesien, 2009.

[225] M. Czernohorsky, E. Bugiel, H. Osten, A. Fissel, and O. Kirfel, “Implact of oxygen supply during growth onthe electrical properties of crystaline Gd2O3 films on Si(001),” Applied Physics Letters, vol. 88, no. 15, pp.152 905–3, 2006.

[226] D. Bhattacharyya and A. Biswas, “Spectroscopic ellipsometric study on dispersion of optical constants ofGd2O3 films,” Journal of Applied Physics, vol. 97, p. 053501, 2005.

[227] A. A. Dakhel, “Optical constants of evaporated gadolinium oxide,” Journal of Optics A: Pure and AppliedOptics, vol. 3, pp. 452 – 454, 2001.

[228] M. Czernohorsky, D. Tetzlaff, E. Bugiel, R. Dargis, H. J. Osten, H. D. B. Gottlob, M. Schmidt, M. C. Lemme,and H. Kurz, “Stability of crystalline Gd2O3 thin films on silicon during rapid thermal annealing,” Semicon-ductor Science and Technology, vol. 28, no. 3, 2008.

[229] A. Laha, A. Fissel, and H. J. Osten, “Effect of Ge passivation on interfacial properties of crystalline Gd2O3

thin films grown on si substrates,” Applied Physics Letters, vol. 96, no. 7, pp. 072 903–072903–3, 2010.

[230] H. D. B. Gottlob, T. J. Echtermeyer, M. Schmidt, T. Mollenhauer, T. Wahlbrink, M. C. Lemme, and H. Kurz,“Leakage current mechanisms in epitaxial Gd2O3 high-k gate dielectrics,” Electrochemical and Solid-StateLetters, vol. 11, no. 3, pp. G12–G14, 2008.

[231] S. Pae, T. Ghani, M. Hattendorf, J. Hicks, J. Jopling, J. Maiz, K. Mistry, J. O’Donnell, C. Prasad, J. Wiedemer,and J. Xu, “Characterization of SILC and its end-of-life reliability assessment on 45nm high-K and metal-gatetechnology,” in IEEE International Reliability Physics Symposium, 2009, pp. 499–504.

[232] I. Hirano, K. Kato, Y. Nakasaki, S. Fukatsu, M. Sato, S. Inumiya, M. Goto, K. Sekine, and Y. Mitani, “Astudy of dielectric breakdown mechanisms in MG/HK MISFETs: From the viewpoint of TDDB statistics,”ECS Transactions, vol. 33, no. 3, pp. 507–519, 2010.

[233] E. Cartier and A. Kerber, “Stress-induced leakage current and defect generation in nFETs with HfO2/TiN gatestacks during positive-bias temperature stress,” in IEEE International Reliability Physics Symposium, 2009, pp.486–492.

[234] A. Kerber, E. Cartier, B. P. Linder, S. A. Krishnan, and T. Nigam, “TDDB failure distribution of metal gate/high-k CMOS devices on SOI substrates,” in IEEE International Reliability Physics Symposium, 2009, pp. 505–509.

[235] C. D. Young, G. Bersuker, J. Tun, R. Choi, D. Heh, and B. H. Lee, “„Smart“ TDDB algorithm for investiga-ting degradation in high-κ gate dielectric stacks under constant voltage stress,” Microelectronic Engineering,vol. 86, no. 3, pp. 287–290, 2009.

Literaturverzeichnis 207

Page 86: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

[236] P. J. Roussel, R. Degraeve, S. Sahhaf, and G. Groeseneken, “A consistent model for the hard breakdowndistribution including digital soft breakdown: the noble art of area scaling,” Microelectronic Engineering,vol. 84, pp. 1925–1928, 2007.

[237] C. D. Young, P. Zeitzoff, G. A. Brown, G. Bersuker, B. H. Lee, and J. R. Hauser, “Intrinsic mobility evaluationof highk gate dielectric transistors using pulsed Id-Vg,” IEEE Electron Device Letters, vol. 26, pp. 586 – 589,2005.

[238] C. Baylis and L. Dunleavy, “Understanding pulsed IV measurement waveforms,” in The 11th IEEE Interna-tional Symposium on Electron Devices for Microwave and Optoelectronic Applications (EDMO 2003), Orlando,Florida, 2003.

[239] R. Endres, Y. Stefanov, and U. Schwalke, “Damascene metal gate technology for gentle integration of cry-stalline high-k-gate dielectrics,” ECS Transactions, vol. 3, no. 2, pp. 297–301, 2006.

[240] U. Schwalke and Y. Stefanov, “Process integration and nanometer-scale electrical characterization of crystal-line high-k gate dielectrics,” Microelectronics and Reliability, vol. 45, no. 5-6, pp. 790–793, 2005.

[241] S. S. Chung, S. J. Chen, C. K. Yang, S. M. Cheng, S. H. Lin, Y. C. Sheng, H. S. Lin, K. T. Hung, D. Y. Wu,T. R. Yew, S. C. Chien, F. T. Liou, and F. Wen, “A novel and direct determination of the interface traps insub-100 nm CMOS devices with direct tunneling regime (12-16 Å) gate oxide,” in Digest of Technical Papers.Symposium on VLSI Technology, 2002, pp. 74–75.

[242] J. Brugler and P. Jespers, “Charge pumping in MOS devices,” IEEE Transactions on Electron Devices, vol. 16,pp. 297 – 302, 1969.

[243] G. Groeseneken, H. Maes, N. Beltran, and R. D. Keersmaecker, “A reliable approach to charge-pumpingmeasurements in MOS transistors,” IEEE Transactions on Electron Devices, vol. 31, pp. 42 – 53, 1983.

[244] G. Groeseneken, H. Maes, N. Beltran, and R. D. Keersmaecker, “The energy distribution of Si/SiO2 interfacestates measured in small size MOSFETs using the charge pumping technique,” International Conference onInsulating Films on Semiconductors (INFOS), pp. 153 – 157, 1983.

[245] S. Jeon and H. Hwang, “Effect of hygroscopic nature on the electrical characteristics of lanthanide oxidesPr2O3, Sm2O3, Gd2O3 and Dy2O3,” Journal of Applied Physics, vol. 93, pp. 6393–6395, 2003.

[246] R. Ranjith, A. Laha, E. Bugiel, H. J. Osten, K. Xu, A. P. Milanov, and A. Devi, “Downscaling of defect-passivated Gd2O3 thin films on p-Si(001) wafers grown by H2O-assisted atomic layer deposition,” Semi-conductor Science and Technology, vol. 25, pp. 105 001–1 – 5, 2010.

208 Literaturverzeichnis

Page 87: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

Stichwortverzeichnis

AAbrasivagglomeration . . . . . . . . . . . . . . . . . . . . . . . . . 94Abtragsrate. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .63, 101Ätzrate . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 53Ätzverfahren

nasschemisch. . . . . . . . . . . . . . . . . . . . . . . . . . . . . .54Reaktives Ionenätzen . . . . . . . . . . . . . . . . . . . . . . 54trockenchemisch . . . . . . . . . . . . . . . . . . . . . . . . . . 54

Akkumulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17Alignmentoxid. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .80Anisotropiefaktor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54Anpresskraft . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 70, 90Aperity-Contact-Model. . . . . . . . . . . . . . . . . . . . . . . . .66Atomlagenabscheidung

Grundprinzip . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .50HfO2-Prozess . . . . . . . . . . . . . . . . . . . . . . . . . . . . .137TiN-Prozess . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 51ZrO2-Prozess . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 137

Ausbreitungswiderstand . . . . . . . . . . . . . . . . . . . . . . . 41Ausfallwahrscheinlichkeit . . . . . . . . . . . . . . . . . . . . . . 33Ausgangskennlinie. . . . . . . . . . . . . . . . . . . . . . . . . . . . .29Ausheilen . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 56Austrittsarbeit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10, 19

BBeschleunigter Test . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34Bipolartransistor

parasitär . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 111Break-In-Prozess. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .73Bulk. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .27

CC-V-Charakteristik . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20CET . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7, 44Charakteristische Lebensdauer . . . . . . . . . . . . . . . . . 34

Bestimmung. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .35Chemisch-mechanisches Planarisieren. . . . . . . . . .54

chemische KomponenteAl-Prozess . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 69SiO2-Prozess . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66W-Prozess . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 68

Grundlagen . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 62mechanische Komponente . . . . . . . . . . . . . . . . . 66

Conductive-AFM. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .60

DDamascene-Verfahren . . . . . . . . . . . . . . . . . . . . . . . . 104Defekte

extrinsisch . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34intrinsisch . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34

Dielektrische Leitfähigkeit. . . . . . . . . . . . . . . . . . . . . . .7Dielektrischer Durchbruch . . . . . . . . . . . . . . . . . . . . . 26

harter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27weicher . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27

Dishing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 65, 96, 101Dissipationsfaktor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 42Doppellacktechnik . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 85Dotierstoffaktivierung . . . . . . . . . . . . . . . . . . . . . . . . . 56Drain. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .28Dummy-Struktur

Aufbau . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 80Herstellung . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 78

EEffusionszelle . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 154Einfangradius . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26Elektrisches Feld

effektives. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .38Oxid . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32

ElektronenstrahlverdampfungHigh-k-MaterialienGd2O3 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 131

Metalle. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .51Ellipsometrie . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 58Endpunktdetektion . . . . . . . . . . . . . . . . . . . . . . . 95, 105EOT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 44epitaktisch . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 153Epitaxie . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 153Erosion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 65, 96, 101Extension. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .71, 91

FFeuchtoxidation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 49Flachbandkapazität

Bestimmung. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .42Flachbandspannung

Bestimmung. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .42Definition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19Verschiebung . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21

209

Page 88: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

Formiergas . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22Formiergastemperung . . . . . . . . . . . . . . . . . . . . . . . . . 56

GGasphasenabscheidung (CVD) . . . . . . . . . . . . . . . . . 50Gate . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5, 17, 28Gate-Last-Prozess

Nachteile . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 187Prozessfolge . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 78Vorteile . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 187

Gate-First-ProzessGrundidee. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .11Nachteile. . . . . . . . . . . . . . . . . . . . . . . . . . . . .11, 187Vorteile . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 187

Gate-Last-ProzessGrundidee. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .12Vorteile . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13

Grenzflächenzustand . . . . . . . . . . . . . . . . . . . . . . . . . . 21Bestimmung der Dichte . . . . . . . . . . . . . . . . . . . .44Kapazität . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .44

Guard-Ring . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 112

HHard-Breakdown (HBD) . . . . . . . . . . . . . . . . . . . . . . . 26HF-Dip . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54, 57High-k-Dielektrika

Anforderungen . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7Defintion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7Erste Generation. . . . . . . . . . . . . . . . . . . . . . . . . . . .9Kristallin . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9Stand der Technik . . . . . . . . . . . . . . . . . . . . . . . . . . 8Zweite Generation. . . . . . . . . . . . . . . . . . . . . . . . .10

Hydroplaning-Modus . . . . . . . . . . . . . . . . . . . . . . 66, 88

II-t-Charakteristik . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31I-V-Charakteristik . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31ICECREM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 73Interface Trap . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21Inversion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19Inversionsladungsdichte . . . . . . . . . . . . . . . . . . . . . . . 38Ionenimplantation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55Isolation

Guard-Ring . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 112LOCOS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .112Shallow-Trench-Isolation . . . . . . . . . . . . . . . . . 114

Isolationsoxid . . . . . . . . . . . . . . . . . . . . . . . . . . . . 80, 110Isotropie . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54ITRS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .5

JJEDEC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33

KKanal . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28Kapazität-Spannungs-Charakteristik

Fehlerquellen. . . . . . . . . . . . . . . . . . . . . . . . . . . . . .40Korrekturverfahren . . . . . . . . . . . . . . . . . . . . . . . . 40Messmethode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39

Kathodenzerstäuben . . . . . . . . . . . . . . . . . . . . . . 52, 104Konditionierung . . . . . . . . . . . . . . . . . . . . . . . . . . . 73, 92Kontaktloch . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 80, 110Kontaktwiderstand . . . . . . . . . . . . . . . . . . . . . . . . . . . . 41Kurzzeittemperung . . . . . . . . . . . . . . . . . . . . . . . . . . . . 56

LLadungsträgermobilität . . . . . . . . . . . . . . . . . . . . . . . . 38Ladungstransport

ballistisch . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36Latch-Up-Effekt . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 111Lebensdauer

charakteristische . . . . . . . . . . . . . . . . . . . . . . . . . . 34Leckstrom . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23

Messung . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32stressinduziert. . . . . . . . . . . . . . . . . . . . . . . . . . . . .27Messung . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37

Leitwert . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 40korrigiert . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .42

Leitwertmethode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 44Lithographie

Defintion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 52Elektronenstrahl- . . . . . . . . . . . . . . . . . . . . . . . . . . 53Lichtoptisch . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 52Mixed-Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 118

LOCOS-Isolation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 112LOCOS-Prozess . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 49

MMajoritätsladungsträger . . . . . . . . . . . . . . . . . . . . . . . 17Maskenebenen . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 77Matthiesssche Regel . . . . . . . . . . . . . . . . . . . . . . . . . . . 39Metall-Elektroden

Anforderungen . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10Midgap . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10nicht planarisierbar . . . . . . . . . . . . . . . . . . . . . . 109Stand der Technik . . . . . . . . . . . . . . . . . . . . . . . . . 11Vorteile . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10

Midgap-Elektrode . . . . . . . . . . . . . . . . . . . . . . . . 10, 104Minoritätsladungsträger . . . . . . . . . . . . . . . . . . . . . . . 19Mixed-Mode-Lithographie . . . . . . . . . . . . . . . . . . . . 118

210

Page 89: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

Mobilität . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38Molekularstrahlepitaxie

Gd2O3-Prozess . . . . . . . . . . . . . . . . . . . . . . . . . . . 159Prinzip . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .153

Mooresches Gesetz. . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4MOS-Kondensator

Aufbau . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17C-V-CharakteristikBeschreibung. . . . . . . . . . . . . . . . . . . . . . . . . . . .20Messmethode . . . . . . . . . . . . . . . . . . . . . . . . . . . 39

Ersatzschaltbild . . . . . . . . . . . . . . . . . . . . . . . . . . . 40Funktionsweise . . . . . . . . . . . . . . . . . . . . . . . . . . . .17Herstellungsprozess . . . . . . . . . . . . . . . . . . . . . . 116ideal . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17

MOS-Reinigung . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 57MOSFET . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27

Betriebsbereichelinearer Bereich . . . . . . . . . . . . . . . . . . . . . . . . . 29Sättigung . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29Sperrbetrieb. . . . . . . . . . . . . . . . . . . . . . . . . . . . .28

Herstellungsprozess . . . . . . . . . . . . . . . . . . . . . . . 78KennlinieAusgangskennlinie (ID-VD) . . . . . . . . . . . . . . .29Unterschwellenkennlinie . . . . . . . . . . . . . . . . 29

NNMOSFET. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27

OOberflächdendefekte . . . . . . . . . . . . . . . . . . . . . . . . . 101Oberflächenprofilometer . . . . . . . . . . . . . . . . . . . . . . . 59On-/ Off-Strom . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29Oxidkapazität . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20Oxidladung. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22Oxidspannung . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19

PParasitäerer Bipolartransistor . . . . . . . . . . . . . . . . . 111Patternfaktor/ -dichte. . . . . . . . . . . . . . . . . . . . . . . . . .64Perkolationspfad. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .27

Modell . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26Permittivität . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6Photolack

Positivlack. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .52Umkehrlack . . . . . . . . . . . . . . . . . . . . . . . . . . 52, 116

PlanarisierungsprozessSiO2-Schicht . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 86

Plasmaätzverfahren. . . . . . . . . . . . . . . . . . . . . . . . . . . .54PMOSFET . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27Poliermittel

CeO2-basiert . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 67Degradation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 107SiO2-basiert . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66

Polierrate. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .73Poliertuch . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 92Poly-Si-Elektrode

Ätzmechanismus . . . . . . . . . . . . . . . . . . . . . . . . . . 83Nachteile . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5

Poole-Frenkel-Leitung . . . . . . . . . . . . . . . . . . . . . . . . . 25Prekursor

Definition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50HfO2-Prozess . . . . . . . . . . . . . . . . . . . . . . . . . . . . .137TiN-Prozess . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 51ZrO2-Prozess . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 148

Preston-Gleichung . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 63Prozess-Technologien

Stand der Technik . . . . . . . . . . . . . . . . . . . . . . . . . 13Prozesssimulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 73

QQualitätsfaktor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 42

RRückseitendruck . . . . . . . . . . . . . . . . . . . . . . . . . . . 70, 91Rückseitenmetallisierung . . . . . . . . . . . . . . . . . . . . . . 41Radikale . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55Rampen-I-V-Messung . . . . . . . . . . . . . . . . . . . . . . . . . . 33Rasterelektronenmikroskop . . . . . . . . . . . . . . . . . . . . 60Rasterkraftmikroskop . . . . . . . . . . . . . . . . . . . . . . . . . . 60Raumladung

Abschätzung . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38Raumlandungszone. . . . . . . . . . . . . . . . . . . . . . . . . . . .17Reaktives Ionenätzen . . . . . . . . . . . . . . . . . . . . . . . . . . 55Reinigungsverfahren. . . . . . . . . . . . . . . . . . . . . . . . . . .57

Automatisiert . . . . . . . . . . . . . . . . . . . 58, 100, 107Tauchbadreinigungen. . . . . . . . . . . . . . . . . . . . . .57

Replacement-Gate-Prozess . . . . . . . . . . . . . . . . . . . . . 13Ringkraft . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 70, 90Ringosszillator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 78

SSättigungsspannung . . . . . . . . . . . . . . . . . . . . . . . . . . . 29Sauerstoffpartialdruck . . . . . . . . . . . . . . . . . . . . . . . . 159Schichtdicke

physikalisch . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 43Schichtdickenmessung

Ellipsometrie . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 58Oberflächenprofilometrie . . . . . . . . . . . . . . . . . . 59Röntgenbeugung . . . . . . . . . . . . . . . . . . . . . . . . . 159

Schichtstapel

Stichwortverzeichnis 211

Page 90: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

Typ A . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 81Typ B . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 82

SchmelzpunktHfO2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .126

Schottky-Emission . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25Schwellendruck . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66Schwellspannung . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19Seitenwandschutz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 83Selektivität . . . . . . . . . . . . . . . . . . . . . . . . . . . 53, 64, 101Seltenerd-Oxid . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9Serienwiderstand . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 40

Bestimmung. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .42Korrektur . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 42

Shallow Trench Isolation . . . . . . . . . . . . . . . . . . . . . 114SILC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27

Messung . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37Siliziumoxid

natives . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 49Oxidation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 49

SiO2-äquivalente Dicke. . . . . . . . . . . . . . . . . . . . . . . . . .7Skalierung

Gate-Last-Prozess . . . . . . . . . . . . . . . . . . . . . . . . 117Grundidee . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4Probleme . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4

Soft-Breakdown (SBD) . . . . . . . . . . . . . . . . . . . . . . . . 26SOI-Substrat . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 117Source . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28Sputterprozess . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 104Square-Law-Modell . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29SRIM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 74, 84Stützstelle . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 96, 118Stoppschicht . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 87Streuoxid. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .56Strom-Spannungs-Charakteristik . . . . . . . . . . . . . . . 31Strom-Spannungs-Messung

Gepulst . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 170Stromleitungsmechanismen

Übersicht. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .23Bestimmung. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .32

Subtraktivtechnik. . . . . . . . . . . . . . . . . . . . . . . . . . . . . .53

TTDDB-Messung . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33Testchip TUD-T60 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 77Thermische Oxidation . . . . . . . . . . . . . . . . . . . . . . . . . 49Transmissions-Elektronenmikroskopie. . . . . . . . . .61Trockenoxidation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 49Tunneln

direkt . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23fehlstellenunterstützt . . . . . . . . . . 23, 25, 26, 37Fowler-Nordheim. . . . . . . . . . . . . . . . . . . . . . . . . .23

UUniformität . . . . . . . . . . . . . . . . . . . . . . . . . . . 64, 96, 101Unterschwellenkennlinie. . . . . . . . . . . . . . . . . . . . . . .29Unterschwellensteilheit . . . . . . . . . . . . . . . . . . . . . . . . 29

VVerarmung . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21Verglasung . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 73Volumenladung. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22

Bestimmung der Dichte . . . . . . . . . . . . . . . . . . . .46

WWahrscheinlichkeitsverteilung . . . . . . . . . . . . . . . . . 33Weibull-Darstellung . . . . . . . . . . . . . . . . . . . . . . . . . . . 34

Steigung β . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34Weibull-Verteilung . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34

ZZuverlässigkeit

Definition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33Zwischenschicht . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .159

212

Page 91: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

Anhang

213

Page 92: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators
Page 93: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

A Prozessdetails

Das folgende Kapitel beinhaltet mit Tabelle A.1 eine detailierte Beschreibung der Herstellungs-prozesse für MOS-Kondensatoren (MOS-K.), MOS-Transistoren (MOSFET) und kompletten CMOS-Schaltungen (CMOS) in einer Gate-Last-Technik. Die Komplexität der Herstellung reicht von 42Einzelprozesschritten für einen MOS-Kondensator über 102 Einzelprozesschritte für einen MOS-Transistor bis hin zu 128 Einzelprozesschritten für eine vollständige CMOS-Schaltung. Nichtberücksichtigt in der Zählung ist die in jeder Charge notwendige Herstellung von Test- undVorläuferwafern sowie zahlreiche prozessüberwachende Schritte wie Mikroskopkontrollen. DieFabrikation von MOS-Kondensatoren endet üblicherweise mit der Strukturierung der Metall-Elektrode. Eine Weiterführung analog zur MOSFET-Herstellung ist möglich, um beispielswei-se sehr kleine Strukturen mit einer Messspitze über Metallflächen elektrisch kontaktieren zukönnen, allerdings werden durch die Abscheidung des Isolationsoxids die tausendfach vorhan-denen Teststrukturen D50 und D25 nicht mehr zugänglich. Nicht aufgeführt in der Übersichtsind Prozessmodule wie die Bauelementisolation durch LOCOS oder STI, die Einstellung derSchwellspannung durch Ionenimplantation oder die Verwendung von für PMOS- und NMOS-Transistoren optimierten Gate-Metallen (sogenannter Dual-Metal-Gate-Prozess). Die Kompati-biltät dieser Module mit dem Gate-Last-Prozess wurde im Rahmen dieser Arbeit nachgewiesen,allerdings wurden keine Bauelemente aufgrund der erhöhten Prozesskompatibiltät hergestellt.

215

Page 94: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

Modul Schritt Nr. Bezeichnung DetailMOS-K.

MOSFET

CMOS

←Prozessvorbereitung 1 1 1 Bereitstellung Wafer 4"-Silizium-Substrat

Orientierung: (100)Spezifischer Widerstand: ρ=5-10 ΩcmpMOS-K., NMOSFET, CMOS: Bor-dotiertnMOS-K., PMOSFET: Phosphor-dotiert

2 2 2 Reinigung Standard-Reinigung, t=10 min,H2SO4-HNO3 (10-1), T=95°C

3 3 3 Reinigung MOS-Reinigung, H2O2(30%ig)-H2SO4(95-97%ig) (1-4), t=10...15 min

←Strukturierung

Gategraben 4 HF-Dip HF (0,5%ig), t=30 s

5 Trockenoxidation dSiO2=90 nm, t=60 s, T=1000 °C

6 Abscheidung PECVD-Oxid dSiO2=550 nm

7 Reinigung CORWET-Post-Deposition-CleaningProgramm nach-CVD-Abscheidung

8 Trockenschrank t>2 h, N2-Atmosphäre, T=200 °C9 Aufbringen Haftvermittler HMDS, t=30 min10 Belacken Lack AZ®5214E, dLack=1,4 μm,

n=4000 U/min, Randentlackung11 Prebake Hotplate, t=55 s, T=110 °C12 Belichten Maskensatz T60, Ebene 11P1 (POLY)13 Umkehrbackschritt Hotplate, t=120 s, T=123 °C14 Flutbelichtung t=25 s, Energie Elum>200 mJ/cm

2

15 Lackentwicklung Entwickler AZ®726MIF, t=60 s16 Deep-UV-Härtung t=60 min, Intensität Ilum>8,5-11 mW/cm2

17 Postbake Hotplate, t=180 s, T=160 °C18 Plasmaätzen Oxid CHF3-Ar-Plasma, F=30-20 sccm,

p=5 mTorr, PIC P=750 W, T=30 °C, t=180 s19 Nassätzen Oxid HF (12,5%ig, gepuffert), t=20 s20 Ablacken O2-Plasma O2-Plasma, F=50 sccm, p=500 mTorr,

PRF=300 W, t=60 min21 Ablacken AZ®-Remover AZ®-Remover, T=70 °C, t=30 min22 Reinigung MOS-Reinigung, H2O2(30%ig)-H2SO4(95-

97%ig) (1-4), t=10...15 min

←Wannenimplantation

4 HF-Dip HF (0,5%ig), t=30 s5 Trockenoxidation dSiO2

=90 nm, t=60 s, T=1000 °C6 Trockenschrank t>2 h, N2-Atmosphäre, T=200 °C7 Aufbringen Haftvermittler HMDS, t=30 min8 Belacken Lack AZ®6618, dLack=1,8 μm,

n=4000 U/min, Randentlackung9 Prebake Hotplate, t=60 s, T=110 °C10 Belichten Maskensatz T60, Ebene 1P1 (N-WANNE)11 Lackentwicklung Entwickler AZ®726MIF, t=60 s12 Postbake Hotplate, t=60 s, T=125 °C

216 A Prozessdetails

Page 95: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

Modul Schritt Nr. Bezeichnung Detail

MOS-K.

MOSFET

CMOS

13 O2-Dip O2-Plasma, F=20 sccm, p=200 mTorr,PRF=100 W

14 Implantation Phosphor Energie Eimpl=130 keV,Dosis Qimpl=5·1014 cm−2

15 Nassätzen Oxid HF (12,5%ig, gepuffert), t=10 s16 Bestimmung Restoxiddicke Ellipsometer17 Ablacken O2-Plasma O2-Plasma, F=50 sccm, p=500 mTorr,

PRF=300 W, t=60 min18 Ablacken AZ®-Remover AZ®-Remover, T=70 °C, t=30 min

←Abscheidung/StrukturierungDum

my-Gate-Stack 4 19 Reinigung RCA-Reinigung, HF-Dip→ NH4OH(25%ig)-

H2O2(31%ig)-H2O (0,25-1-5), t=10 min,T=70 °C, ultraschallunterstützt

5 20 HF-Dip HF (0,5%ig), t=30 s6 21 Trockenoxidation dSiO2

=40 nm7 22 Abscheidung Polysilizium dPol y−Si=300 nm8 23 Reinigung Standard-Reinigung, t=10 min,

H2SO4-HNO3, T=95°C)9 24 Trockenschrank t>2 h, N2-Atmosphäre, T=200 °C10 25 Aufbringen Haftvermittler HMDS, t=30 min11 26 Belacken Lack AZ®6618, dLack=2,1 μm,

n=3000 U/min, Randentlackung12 27 Prebake Hotplate, t=90 s, T=110 °C13 28 Belichten Maskensatz T60, Ebene 11P1 (POLY)14 29 Lackentwicklung Entwickler AZ®726MIF, t=60 s15 30 O2-Dip O2-Plasma, F=20 sccm, p=200 mTorr,

PRF=100 W16 31 CF4-Härtung CF4-Plasma, F=30 sccm, p=50 mTorr,

PRF=50 W, t=5 min17 32 Postbake Hotplate, t=180 s, T=155 °C18 33 Plasmaätzen Polysilizium HBr-Plasma, F=50 sccm, T=50 °C,

PRF=50 W, PIC P=750 W, p=7 mTorr,t=105 s

19 34 Bestimmung Restoxiddicke Ellipsometer

←Source-/Drain-Implantation

N↓20 35 Trockenschrank t>2 h, N2-Atmosphäre, T=200 °C21 36 Aufbringen Haftvermittler HMDS, t=30 min22 37 Belacken Lack AZ®6618, dLack=2,1 μm,

n=3000 U/min, Randentlackung23 38 Prebake Hotplate, t=95 s, T=110 °C24 39 Belichten Maskensatz T60, Ebene 12N1 (P-IMPLANT)25 40 Lackentwicklung Entwickler AZ®726MIF, t=60 s26 41 O2-Dip O2-Plasma, F=20 sccm, p=200 mTorr,

PRF=100 W27 42 Postbake Hotplate, t=180 s, T=120 °C

217

Page 96: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

Modul Schritt Nr. Bezeichnung DetailMOS-K.

MOSFET

CMOS

28 43 Implantation Bor Energie Eimpl=60 keV,Dosis Qimpl=1·1015 cm−2

29 44 Ablacken O2-Plasma O2-Plasma, F=50 sccm, p=500 mTorr,PRF=300 W, t=180 min

30 45 Ablacken AZ®-Remover AZ®-Remover, T=70 °C, t=120 min31 46 Reinigung Standard-Reinigung, t=10 min,

H2SO4-HNO3 (10-1), T=95 °CP↓20 47 Trockenschrank t>2 h, N2-Atmosphäre, T=200 °C21 48 Aufbringen Haftvermittler HMDS, t=30 min22 49 Belacken Lack AZ®6618, dLack=2,1 μm,

n=3000 U/min, Randentlackung23 50 Prebake Hotplate, t=95 s, T=110 °C24 51 Belichten Maskensatz T60, Ebene 13N1 (N-IMPLANT)25 52 Lackentwicklung Entwickler AZ®726MIF, t=60 s26 53 O2-Dip O2-Plasma, F=20 sccm, p=200 mTorr,

PRF=100 W27 54 Postbake Hotplate, t=180 s, T=120 °C28 55 Implantation Phosphor Energie Eimpl=90 keV,

Dosis Qimpl=1·1015 cm−2

29 56 Ablacken O2-Plasma O2-Plasma, F=50 sccm, p=500 mTorr,PRF=300 W, t=120 min

30 57 Ablacken AZ®-Remover AZ®-Remover, T=70 °C, t=30 min31 58 Reinigung Standard-Reinigung, t=10 min,

H2SO4-HNO3 (10-1), T=95 °C32 59 Plasmaätzen Oxid CHF3-O2-Plasma, F=40-8 sccm,

p=200 mTorr, PRF=400 W33 60 Reinigung Standard-Reinigung, t=10 min,

H2SO4-HNO3 (10-1), T=95 °C

←Oxid-CMP

34 61 Abscheidung PECVD-Nitrid dSi3N4=140 nm

35 62 Reinigung CORWET-Post-Deposition-CleaningProgramm nach-CVD-Abscheidung

36 63 Abscheidung PECVD-Oxid dSiO2=850 nm

37 64 Reinigung CORWET-Post-Deposition-CleaningProgramm nach-CVD-Abscheidung

38 65 Temperung t=30 min, N2-Atmosphäre, T=900 °C39 66 CMP Oxid Slurry: Cabot® SS25-E, Pad: JH Rhodes

ESM-U-Pad, Parameter: t=600 s,DF=6,0 psi, TS=100 min−1, CS=80 min−1,RF=3,2 psi, BP=4,0 psi; SR=100 ml/min,in situ-Conditioning

218 A Prozessdetails

Page 97: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

Modul Schritt Nr. Bezeichnung Detail

MOS-K.

MOSFET

CMOS

39 66 Reinigung CORWET-Post-Deposition-CleaningProgramm nach-Oxid-CMP

40 67 Endpunktdetektion Oberflächenmessung Dektak

←Entfernung

Dum

my-Gate 41 68 Nassätzen Nitrid H3PO4 (85%ig), T=155 °C, t=45 min

42 69 Endpunktdetektion Oberflächenmessung Dektak43 70 Plasmaätzen Polysilizium HBr-Plasma, F=50 sccm, T=50 °C,

PRF=50 W, PIC P=750 W, p=7 mTorr,t=105 s

44 71 Endpunktdetektion Oberflächenmessung Dektak45 Nassätzen Oxid HF (12,5%ig, gepuffert), t=30 s

72 Nassätzen Oxid HF (12,5%ig, gepuffert), t=60 s46 73 Endpunktdetektion Conductive-AFM und/oder Ellipsometer47 74 Bestimmung Grabentiefe Dektak47 75 Reinigung MOS-Reinigung, H2O2(30%ig)-H2SO4(95-

97%ig) (1-4), t=10...15 min

←AufbauGate-Stack 23 48 76 HF-Dip HF (0,175%ig), t1=75 s→ 10 min Ozon-

spülung→ t2=50 s24 49 77 Oberflächenpräparation Ausheizen bei T=680 °C, Gd2O3-Passivation

bei T=300 °C und PRF=1000 W24 49 77 Gd2O3-MBE-Prozess T=675 °C, PRF=1200W,

O2-Partialdruck pO2=5·10−7 mTorr

25 50 78 Abscheidung Gate-Metall fakultativ, beispielsweise ALD TiN (TiCl4-Precursor, 300 ALD-Zyklen, T=350 °C,dTiN=14 nm)

26 51 79 Abscheidung Wolfram Wolfram-Abscheidung (RF-Sputtern oderAufdampfen), dW=50 nm

←Metall-CMP 27 Abscheidung W-Ti Wolfram-Titan (90%-10%), dW−Ti=800 nm,

DC-Sputtern52 80 Abscheidung W-Ti Wolfram-Titan (90%-10%), dW−Ti=400 nm,

DC-Sputtern28 53 81 CMP Wolfram Slurry: Cabot® SS25-E, Pad: JH Rhodes

ESM-U-Pad, Parameter: t=600 s,DF=6,0 psi, TS=100 min−1, CS=80 min−1,RF=3,2 psi, BP=4,0 psi; SR=100 ml/min,in situ-Conditioning

29 54 82 Reinigung CORWET-Post-Deposition-CleaningProgramm nach-Wolfram-CMP

30 55 83 Endpunktdetektion Mikroskopisch oder per IV-Messung, wennkein alternatives Gate-Metall verwendetwird

31 56 84 Plasmaätzen Gate-Metall fakultativ, wenn alternatives Gate-Metallverwendet wird

32 57 85 Endpunktdetektion IV-Messung33 58 86 Reinigung Wasserspülung

219

Page 98: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

Modul Schritt Nr. Bezeichnung DetailMOS-K.

MOSFET

CMOS

←Isolationsoxid/Kontaktloch

59 87 Abscheidung PECVD-Oxid dSiO2=500 nm

60 88 Reinigung CORWET-Post-Deposition-CleaningProgramm nach-CVD-Abscheidung

61 89 Trockenschrank t>2 h, N2-Atmosphäre, T=200 °C62 90 Aufbringen Haftvermittler HMDS, t=30 min63 91 Belacken Lack AZ®6618, dLack=2,1 μm,

n=3000 U/min, Randentlackung64 92 Prebake Hotplate, t=95 s, T=110 °C65 93 Belichten Maskensatz T60, Ebene 15N1 (KONTAKT)66 94 Lackentwicklung Entwickler AZ®726MIF, t=60 s67 95 O2-Dip O2-Plasma, F=20 sccm, p=200 mTorr,

PRF=100 W68 96 Postbake Hotplate, t=95 s, T=160 °C69 97 Plasmaätzen Kontaktloch CHF3-O2-Ar-Plasma, F=40-8-25 sccm,

p=150 mTorr, PRF=400 W70 98 Endpunktdetektion Conductive-AFM71 99 O2-Dip O2-Plasma, F=20 sccm, p=200 mTorr,

PRF=100 W72 100 Plasmaätzen Lochrand CHF3-O2-Plasma, F=40-8 sccm,

p=150 mTorr, PRF=400 W73 101 Ablacken O2-Plasma O2-Plasma, F=50sccm, p=500 mTorr,

PRF=300 W, t=60 min74 102 Ablacken AZ®-Remover AZ®-Remover, T=70 °C, t=30 min75 103 Reinigung Isopropanol, T=70 °C, t=60 s

←Metallisierung

76 104 HF-Dip HF (0,5%ig), t=30 s77 105 Aufdampfen Titan dTi=150 nm78 106 Aufdampfen Aluminium dAl=800 nm79 107 Trockenschrank t>2 h, N2-Atmosphäre, T=200 °C80 108 Aufbringen Haftvermittler A1100, t=30 min81 109 Belacken Lack AZ®6618, dLack2,1 μm,

n=3000 U/min, Randentlackung82 110 Prebake Hotplate, t=95 s, T=110 °C83 111 Belichten Maskensatz T60, Ebene 16P1 (METALL)84 112 Lackentwicklung Entwickler AZ®726MIF, t=60 s85 113 O2-Dip O2-Plasma, F=20 sccm, p=200 mTorr,

PRF=100 W86 114 CF4-Härtung CF4-Plasma, F=30 sccm, p=50 mTorr,

PRF=50 W, t=5 min87 115 Postbake Hotplate, t=95 s, T=160 °C88 116 Plasmaätzen Aluminium SiCl4-Plasma, F=20 sccm, p=200 mTorr,

PRF=400 W89 117 Plasmaätzen Titan SiCl4-Plasma, F=20 sccm, p=200 mTorr,

PRF=400 W90 118 Ablacken O2-Plasma O2-Plasma, F=50 sccm, p=500 mTorr,

PRF=300 W, t=60 min

220 A Prozessdetails

Page 99: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

Modul Schritt Nr. Bezeichnung Detail

MOS-K.

MOSFET

CMOS

91 119 Ablacken AZ®-Remover AZ®-Remover, T=70 °C, t=30 min92 120 Reinigung Isopropanol, T=70 °C, t=60 s

34 93 121 Temperung Formiergas H2-N2 (10%-90%), t=10 min, T=425 °C

←FreiätzenRückseite

35 94 122 Trockenschrank t>2 h, N2-Atmosphäre, T=200 °C36 95 123 Aufbringen Haftvermittler A1100, t=30 min37 96 124 Belacken Lack AZ®6618, dLack2,1 μm,

n=3000 U/min38 97 125 Prebake Hotplate, t=95 s, T=110 °C

98 126 Nassätzen Polysilizium HIO3-NH4F(40%ig)-HF(50%ig)-H2O(72 g-82 ml-18 ml-3680 ml), t= 210 s

39 99 127 Nassätzen Oxid HF (12,5%ig, gepuffert), t=60 s40 100 128 Ablacken AZ®-Remover AZ®-Remover, T=70 °C, t=30 min41 101 129 Reinigung Isopropanol, T=70 °C, t=60 s42 102 130 Reinigung Wasserspülung

Tabelle A.1: Einzelprozessschritte zur Herstellung von MOS-Kondensatoren (MOS-K.), MOS-Transistoren

(MOSFET) und CMOS-Schaltungen (CMOS) mit gleicher Midgap-Metall-Elektrode für NMOS-

und PMOS-Bauelemente in einer Gate-Last -Technik. Nicht aufgeführt sind Schritte zur erwei-

terten Prozesskontrolle und zur Herstellung von Test- und Vorläufersubstraten.

221

Page 100: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

B Verzeichnis der Publikationen und Konferenzbeiträge

Publikationen

1. R. Endres, T. Krauss, F. Wessely and U. Schwalke, “Damascene TiN-Gd2O3-gate stacks: Gentle fabrication andelectrical properties“, Microelectronic Engineering, Article in Press.

2. M.C. Lemme, H.D.B. Gottlob, T.J. Echtermeyer, H. Kurz, R. Endres, U. Schwalke, M. Czernohorsky, H.J. Osten,“Complementary metal oxide semiconductor integration of epitaxial Gd2O3 “, The Journal of Vacuum Scienceand Technology B, vol. 27, no. 1, pp. 258-261, 2009.

3. R. Endres, Y. Stefanov, F. Wessely, F. Zaunert, U. Schwalke, “Process damage free damascene metal gatetechnology for gentle integration of epitaxially grown High-k “, Microelectronic Engineering, vol. 85, no. 1,pp. 15-19, 2008.

4. F. Zaunert, R. Endres, Y. Stefanov, U. Schwalke, “Evaluation of MOSFETs with crystalline high-k gate dielec-trics:device simulation and experimental data“, Journal of Telecommunications and Information Technology,vol. 2/2007, pp. 78-85, 2007.

5. R. Endres, Y. Stefanov, U. Schwalke, “Electrical characterization of crystalline Gd2O3 gate dielectric MOSFETsfabricated by damascene metal gate technology“, Microelectronics Reliability, vol. 47, no. 4-5, pp. 528-531,2006.

Konferenzbeiträge

Vorträge

6. R. Endres, H. Gottlob, M. Schmidt, D. Schwendt, H. Osten and U. Schwalke, “Crystalline gadolinium oxide:A promising high-k candidate for future CMOS generations“, 218th Meeting of the Electrochemical Society,10.10.- 15.10.2010, Las Vegas, NV, USA.

7. R. Endres und U. Schwalke, “Prozessintegration und elektrische Charakterisierung von kristallinen Gd2O3

High-k Dielektrika“, VDE-Fachgruppentagung 8.5.6 - fWLR / Wafer Level Reliability, Zuverlässigkeits - Simula-tion & Qualifikation, 17.05.- 18.05.2010, Erfurt.

8. F. Wessely, T. Krauss, R. Endres and U. Schwalke, “Dopant less multi-gate silicon nanowire CMOS-inverter onSOI substrate“, 5th International Conference on Design & Technology of Integrated Systems in Nanoscale Era,23.03.- 25.03.2010, Hammamet, Tunesien.

9. R. Endres, T. Krauss, F. Wessely and U. Schwalke, “Damascene metal gate technology for damage-free gate-last high-k process integration“, International Conference on Signals, Circuits and Systems, 06.11.- 09.11.2009,Djerba, Tunesien.

10. F. Wessely, R. Endres and U. Schwalke, “Down-scaling of the damascene metal gate integration process viaelectron beam lithography“, International Conference on Signals, Circuits and Systems, 06.11.- 09.11.2009,Djerba, Tunesien.

11. R. Endres, F. Wessely and U. Schwalke, “CMP-based gate last high-k integration“, 11th Annual Workshop onSemiconductor Advances for Future Electronics and Sensors, 27.11.- 28.11.2008, Veldhoven, Niederlande.

12. M.C. Lemme, H.D.B. Gottlob, T.J. Echtermeyer, H. Kurz, R. Endres, U. Schwalke, M. Czernohorsky, H.J. Osten,“CMOS integration of epitaxial Gd2O3 “, 15th Workshop on Dielectrics in Microelectronics, 23.06.- 25.06.2008,Bad Saarow, Deutschland.

13. R. Endres and U. Schwalke, “Damascene metal gate technology for damage-free high-k process integration“,The 7th International Semiconductor Technology Conference, 15.03.- 17.03.2008, Shanghai, China.

222 B Verzeichnis der Publikationen und Konferenzbeiträge

Page 101: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

14. F. Zaunert, R. Endres and U. Schwalke, “Device and stress simulation of MOSFETs with crystalline high-k gatedielectrics manufactured with replacement gate process“, 10th Annual Workshop on Semiconductor Advancesfor Future Electronics and Sensors, 29.11.- 30.11.2007, Veldhoven, Niederlande.

15. R. Endres, Y. Stefanov and U. Schwalke, “Damascene metal gate technology for gentle integration of cry-stalline high-k gate-dielectrics“, 210th Meeting of The Electrochemical Society, 29.10.- 03.11.2006, Cancun,Mexiko.

16. H.D.B. Gottlob, T. Echtermeyer, T. Mollenhauer, M. Schmidt, J.K. Efavi, T. Wahlbrink, M.C. Lemme, H. Kurz,R. Endres, Y. Stefanov, U. Schwalke, M. Czernohorsky, E. Bugiel, A. Fissel, H.J. Osten, “Approaches to CMOSintegration of epitaxial gadolinium oxide high-k dielectrics“, The 36th European Solid-State Device ResearchConference, 18.09.- 22.09.2006, Montreux, Schweiz.

17. F. Zaunert, R. Endres, Y. Stefanov and U. Schwalke, “Evaluation of MOSFETs with crystalline high-k gate-dielectrics: Device simulation and experimental Data“, 7th Symposium Diagnostics & Yield - Advanced SiliconDevices and Technologies for ULSI Era, 26.06.- 28.06.2006, Warschau, Polen.

18. R. Endres, Y. Stefanov and Udo Schwalke, “Electrical characterization of crystalline Gd2O3 gate dielectricMOSFETs fabricated by damascene metal gate technology“, 14th Workshop on Dielectrics in Microelectronic2006, 26.06.- 28.06.2006, Santa Tecla, Italien.

19. Y. Stefanov, F. Cilek, R. Endres, U. Schwalke, “Alternative optimization techniques for shallow trench isolationand replacement gate technology CMP“, The 2nd Pacific-Rim International Conference on Planarization CMPand Its Application Technology, 17.11.- 19.11.2005, Seoul, Korea.

20. T. Ruland, R. Endres, U. Schwalke, “Application of porous silicon 2D photonic crystals as on-chip inter-connects“, European Congress on Advanced Materials and Processes, 05.09.- 08.09.2005, Prag, TschechischeRepublik.

Poster

21. F. Wessely, T. Krauss, R. Endres and U. Schwalke, “Novel application of wafer-bonded multiSOI: Junctionlessnanowire transistors for CMOS logic“, 218th Meeting of the Electrochemical Society, 10.- 15.10.2010, LasVegas, NV, USA.

22. R. Endres, T. Krauss, F. Wessely and U. Schwalke, “Gentle gate last integration and electrical characterizationof TiN/Gd2O3/Si MOS capacitors and field effect Transistors“, 40th IEEE Semiconductor Interface SpecialistsConference, 03.12.- 05.12.2009, Arlington, VA, USA.

23. R. Endres, T. Krauss, F. Wessely and U. Schwalke, “Damascene TiN-Gd2O3-gate Stacks: Gentle fabrication andelectrical properties“, 6th International Symposium on Advanced Gate Stack Technology, 22.08.- 26.08.2009,San Francisco, CA, USA.

24. R. Endres and U. Schwalke, “Damascene metal gate technology: A front-end CMP based universal plat-form for high-k evaluation at the device level“, The 2nd International Conference on Planarization Technology,25.10.- 26.10.2007, Dresden, Deutschland.

25. R. Endres and U. Schwalke, “Damascene metal gate technology: A novel approach towards nano CMOSdevices with crystalline high-k gate dielectrics“, Nanotech Northern Europe, 27.03.- 29.03.2007, Helsinki,Finnland.

26. R. Endres, Y. Stefanov and U. Schwalke, “Electrical performance of damascene metal gate MOSFETs with cry-stalline Gd2O3 gate dielectric“, 37th IEEE Semiconductor Interface Specialists Conference, 07.12.- 09.12.2006,San Diego, CA, USA.

27. R. Endres, Y. Stefanov, F. Wessely, F. Zaunert and U. Schwalke, “Process damage-free damascene metal gatetechnology for gentle integration of epitaxially grown high-k gate dielectrics“, 3rd International Symposiumon Advanced Gate Stack Technology, 27.09.- 29.09.2006, Austin, TX, USA.

223

Page 102: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

28. R. Endres, Y. Stefanov and U. Schwalke, “Epitaxial high-k oxide metal gate MOSFETs: Damascene CMP pro-cess integration and electrical results“, International Conference on Solid State Devices and Materials, 12.09.-15.09.2006, Yokohama, Japan.

Proceedings

29. R. Endres, H. Gottlob, M. Schmidt, D. Schwendt, H. Osten and U. Schwalke, “Crystalline gadolinium oxide:A promising high-k candidate for future CMOS generations“, ECS-Transactions, Issue Physics and Technologyof High-k Materials 8, vol. 33, no. 3, pp. 25-29, 2010.

30. F. Wessely, T. Krauss, R. Endres and U. Schwalke, “Novel application of wafer-bonded multiSOI: Junctionlessnanowire transistors for CMOS logic“, ECS-Transactions, Semiconductor Wafer Bonding 11: Science, Technolo-gy, and Applications - In Honor of Ulrich Gösele, vol. 33, no. 4 , pp. 169-173, 2010.

31. R. Endres, F. Wessely and U. Schwalke, “CMP-based gate last high-k integration“, Proceedings of The 11th

Annual Workshop on Semiconductor Advances for Future Electronics and Sensors, pp. 544-547, 2008.

32. R. Endres and U. Schwalke, “Damascene metal gate technology for damage-free high-k process integration“,Proceedings of The 7th International Semiconductor Technology Conference, pp. 486-492, 2008.

33. F. Zaunert, R. Endres, U. Schwalke, “Device and stress simulation of MOSFETs with crystalline high-k gate-dielectrics manufactured with replacement gate process“, Proceedings of The 10th Annual Workshop on Semi-conductor Advances for Future Electronics, pp. 488-491, 2007.

34. R. Endres and U. Schwalke, “Damascene metal gate technology: A front-end CMP based universal platformfor high-k evaluation at the device level“, Proceedings of the International Conference on PlanarizationTechnology, pp. 421-426, 2007.

35. R. Endres, Y. Stefanov and U. Schwalke, “Damascene metal gate technology for gentle integration of crystal-line high-k gate-dielectrics“, ECS Transactions, vol. 3, no. 2, pp. 297-301, 2006.

sonstiges

• Session Chair ‘Metal Gate Electrodes and High-k Rare Earth Oxides for Future CMOS Applications’, 2009International Conference on Signals, Circuits and Systems (SCS), 06.11.- 09.11.2009, Djerba, Tunesien

• Session Organizer Special Session ‘Metal Gate Electrodes and High-k Rare Earth Oxides for Future CMOSApplications’, 2009 International Conference on Signals, Circuits and Systems (SCS), 06.11.- 09.11.2009,Djerba, Tunesien

224 B Verzeichnis der Publikationen und Konferenzbeiträge

Page 103: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

C Liste der betreuten Studien- / Diplomarbeiten

• T. Krauss, “Untersuchungen zur elektrischen Zuverlässigkeit von High-k-Schichten“, Studienarbeit S261,2010.

• N. Pervin, “Herstellung und Charakterisierung von High-k-Schichten“, Bachelorarbeit S260, 2008.

• B. Meyer, “Optimierung eines CMP-Prozesses hinsichtlich globaler Planariserung durch Layoutmodifikationfür Damascene-Metal-Gate-Anwendungen, Masterarbeit D244, 2008.

• K. Stegmaier, “The formation and characterisation of HfO2 Metal-Insulator-Semiconductor structures“, Di-plomarbeit D241e, 2006.

225

Page 104: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators
Page 105: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

Lebenslauf

Ralf Endres

geboren am 28.07.1979 in Gerolstein

1986-1990 Graf-Salentin Grundschule Jünkerath

1990-1999 Staatliches St.-Matthias Gymnasium Gerolstein

Juli 1999 Abitur

1999-2000 Zivildienst DRK Rettungsdienst gGmbH Eifel-Mosel-Hunsrück

2000-2005 Studium der Elektrotechnik an der Technischen Universität Darmstadt

April 2002 Vordiplom

Dezember 2005 Diplom im Fachbereich Elektro- und Informationstechnik,Fachrichtung Mikroelektronik

seit April 2006 Wissenschaftlicher Mitarbeiter am Institut für Halbleitertechnikund Nanoelektronik,Technische Universität Darmstadt

227

Page 106: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators
Page 107: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

Danksagung

Die vorliegende Arbeit entstand während meiner Tätigkeit als wissenschaftlicher Mitarbeiter amInstitut für Halbleitertechnik und Nanoelektronik der Technischen Universität Darmstadt. Nacheiner fast fünfjährigen prägenden, lehrreichen, aber auch humorvollen Zeit, reich an Höhen undTiefen, schließe ich diesen Lebensabschnitt mit Blick in die Zukunft ab.

An dieser Stelle möchte ich gerne allen danken, die mich bei der Bearbeitung des Themas un-terstützt und damit direkt und indirekt zum Gelingen dieser Arbeit beigetragen haben.

Mein besonderer Dank gilt PROF. DR. UDO SCHWALKE für die Übertragung des äußerst interessan-ten Themas, für das entgegenbrachte Vertrauen und die jederzeit schnelle und unkomplizierteUnterstützung auch über das Promotionsthema hinaus.

PROF. DR. H. JÖRG OSTEN danke ich für die Übernahme des Koreferats und PROF. DR. XXX für dieÜbernahme des Prüfungsvorsitzes.

Mein besonderer Dank gilt meinen Kolleginnen und Kollegen vom Institut für Halbleitertechnikund Nanoelektronik der Technischen Universität Darmstadt für die angenehme Arbeitsatmo-sphäre und für manch angeregte Diskussion, vor allem TILLMANN KRAUSS, FRANK WESSELY, DR.LORRAINE RISPAL und DR. FLORIAN ZAUNERT.

Für die Unterstützung in der institutseigenen Prozesslinie danke ich DR. KLAUS HABERLE, RUDOLF

HELLER, GISELA HESS, GUDRUN MÜLLER und GERHARD TZSCHÖCKEL. Desweiteren danke ich allenStudenten für eine Reihe ergänzender Arbeiten.

Den Partnern in den BMBF-Projekten MEGAEPOS und KrisMOS danke ich für die sehr guteZusammenarbeit, insbesondere

• DR. MALTE CZERNOHORSKY, DR. RYTHIS DARGIS, DOMINIK SCHWENDT, DR. ABURBA LAHA, DR.OLAF KIRFEL und PROF. DR. H. JÖRG OSTEN vom Institut für Materialien und Bauelementeder Elektronik der Leibniz Universität Hannover für das Aufwachsen der Gd2O3-Schichtenund DR. EBERHARD BUGIEL für die zugehörigen TEM-Aufnahmen.

• DR. HEINER GOTTLOB, MATTHIAS SCHMIDT, PROF. DR. MAX LEMME und PROF. DR. HEINRICH KURZvon der AMO GmbH Aachen für die Abscheidung der W-, TiN- und Poly-Si-Schichten.

229

Page 108: Kapitel 5 Elektrische Charakterisierung - TUprintstuprints.ulb.tu-darmstadt.de/2501/3/Dissertation_Teil_3-3.pdf · nete Kapazität-Spannungs-Charakteristik eines idealen pMOS-Kondensators

Meine Dankbarkeit gilt auch den ausländischen Partnern der universitären Forschungskoope-rationen für die Bereitstellung der High-k-Materialien und die ertragreiche Zusammenarbeit.Diese sind

• KANDA TAPILY, DR. DIFENG GU, PRAGYA SHRESTHA und PROF. DR. HELMUT BAUMGART vom App-lied Research Center der Old Dominion University, Newport News, VA, USA.

• DR. PAUL HURLEY und DR. KARIM CHERKAOUI vom Tyndall National Institute in Cork, Irland.

• PROF. DR. DANIEL LICHTENWALNER von der North Carolina State University, Raleigh, VA, USA.

Thank you very much for your contribution to our good results. It was a pleasure and greatexperience for me to work with you.

Desweiteren danke ich den Industriepartnern Cabot Microelectronics, insbesondere den Mit-arbeitern des Vertriebsbüros Dresden, für die kostenlose Bereitstellung der CMP-Chemikalien,THOMAS WORM von Keithley Instruments für Rat und Tat bei der elektrischen Messtechnik sowieDR. REINHARD LEMME von der Siemens AG München für die hochauflösenden REM-Aufnahmen.

Ein besonderer Dank geht an meine Familie, insbesondere an meine Eltern, die mir Schule undStudium ermöglicht haben und damit die notwendigen Voraussetzungen für die Promotion ge-schaffen haben sowie dem wichtigsten Menschen in meinem Leben. Julia, danke für die schöneZeit mir Dir und Deine Geduld in den letzten Monaten.

Vielen Dank!

Groß-Gerau, im Januar 2011

230